US20050072446A1 - Process and apparatus for treating a workpiece - Google Patents

Process and apparatus for treating a workpiece Download PDF

Info

Publication number
US20050072446A1
US20050072446A1 US10/998,278 US99827804A US2005072446A1 US 20050072446 A1 US20050072446 A1 US 20050072446A1 US 99827804 A US99827804 A US 99827804A US 2005072446 A1 US2005072446 A1 US 2005072446A1
Authority
US
United States
Prior art keywords
ozone
chamber
workpiece
wafer
steam
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/998,278
Inventor
Eric Bergman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=26740940&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=US20050072446(A1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Priority claimed from US08/853,649 external-priority patent/US6240933B1/en
Priority claimed from PCT/US1999/008516 external-priority patent/WO1999052654A1/en
Priority claimed from US09/621,028 external-priority patent/US6869487B1/en
Application filed by Individual filed Critical Individual
Priority to US10/998,278 priority Critical patent/US20050072446A1/en
Publication of US20050072446A1 publication Critical patent/US20050072446A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02054Cleaning before device manufacture, i.e. Begin-Of-Line process combining dry and wet cleaning steps
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/02Cleaning by the force of jets or sprays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B2203/00Details of cleaning machines or methods involving the use or presence of liquid or steam
    • B08B2203/005Details of cleaning machines or methods involving the use or presence of liquid or steam the liquid being ozonated
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B2230/00Other cleaning aspects applicable to all B08B range
    • B08B2230/01Cleaning with steam
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Definitions

  • the cleaning of semiconductor wafers is often a critical step in the fabrication processes used to manufacture integrated circuits or the like.
  • the geometries on wafers are often on the order of fractions of a micron, while the film thicknesses may be on the order of 20 Angstroms. This renders the devices highly susceptible to performance degradation due to organic, particulates or metallic/ionic contamination.
  • a novel chemistry, application technique, and system is used to reduce the contamination and speed up processing in the manufacturing of semiconductor wafers, memory disks, photomasks, optical media, and other substrates (collectively referred to here as “wafers”) requiring a high level of clean. Contamination may occur from organics, particles, metal/ions, and silicon dioxide. Cleaning of wafers is achieved by delivery of a chemical stream to the workpiece surface. Ozone is delivered into the process environment. The chemical stream, which may be in the form of a liquid or vapor, is applied to the wafer in a system which allows for control of the liquid boundary layer thickness.
  • ozone has a limited solubility in the hot liquid solution, it is still able to diffuse through the solution and react with the surface of the wafer (whether it is silicon, photoresist, etc.) at the liquid/solid interface.
  • diffusion rather than dissolution, is the primary mechanism used to deliver ozone to the surfaces of the wafers.
  • Water apparently helps to hydrolyze carbon-carbon bonds or accelerate the oxidation of silicon surfaces by hydrolyzing silicon-hydrogen or silicon-hydroxyl bonds.
  • the elevated temperature promotes the reaction kinetics and the high concentration of ozone in the gas phase promotes diffusion of the ozone through the liquid film, even though the increased temperature of the liquid film does not result in a solution having a high concentration of ozone dissolved in it.
  • the flow of ozone can be delivered to the process chamber through a vapor generator or the like.
  • a vapor generator or the like.
  • Such a generator is filled with water, which is temperature controlled.
  • the ozone gas stream is enriched with water vapor which maintains the boundary layer on each wafer surface at a minimal thickness so that the layer does not inhibit diffusion.
  • delivery assists in preventing the wafers from drying completely during the process.
  • a high capacity ozone generator is preferably used to produce a mixed effluent containing a high concentration of ozone in combination with a high flow rate.
  • a higher concentration of ozone increases the quantity of ozone provided to the surface of the wafer.
  • a higher flow rate increases the rate at which fresh reactants are replenished, and spent or exhausted reactants are carried away from the wafer.
  • the temperature of the liquid supply can be heated to generate a supply of saturated steam under pressure to the process chamber.
  • a steam generator may be used to pressurize the process chamber to achieve the desired temperatures.
  • saturated steam at 126 degrees Celsius may be used with a corresponding increase in the pressure of the process chamber to 240 K Pa (35 psia).
  • the increased pressure within the processing chamber also provides for use of higher ozone concentrations, thereby generating a higher diffusion gradient across the boundary layer at the surface of each wafer.
  • the process is applicable to various manufacturing steps that require cleaning or selective removal of contaminants from the surface of a workpiece. For example, one or more of the steps may be used to remove photoresist from the surface of a semiconductor wafer.
  • Novel aspects include:
  • the boundary layer may be controlled through the control of wafer rotation rate, vapor delivery, controlled liquid spray, the use of steam, the use of surfactants or a combination of more than one of these techniques.
  • the process utilizes a mixed effluent having a higher concentration of ozone in combination with a higher flow rate for increasing the rate at which fresh reactants are supplied to the surface of the wafer.
  • FIG. 1 is a schematic block diagram of one embodiment of an apparatus for treating a semiconductor workpiece in which ozone is injected into a line containing a pressurized treatment liquid.
  • FIG. 2 is a schematic block diagram of one embodiment of an apparatus for treating a semiconductor workpiece in which the semiconductor workpiece is indirectly heated by heating a treatment liquid that is sprayed on the surface of the workpiece.
  • FIG. 3 is a flow diagram illustrating one embodiment of a process flow for treating a semiconductor workpiece with a treatment fluid and ozone.
  • FIG. 4 is a schematic block diagram of an alternative embodiment of the system set forth in FIG. 2 wherein the ozone and treatment fluid are provided to the semiconductor workpiece along different flow paths.
  • FIG. 5 is a schematic block diagram of an embodiment of an apparatus for treating a semiconductor workpiece in which pressurized steam and ozone are provided in a pressurized chamber containing a semiconductor workpiece.
  • FIG. 6 is a schematic block diagram of an embodiment of an apparatus for treating a semiconductor workpiece in which an ultra-violet lamp is used to enhance the kinetic reactions at the surface of the workpiece.
  • the treatment system shown generally at 10 , includes a treatment chamber 15 that contains one or more workpieces 20 , such as semiconductor wafer workpieces.
  • workpieces 20 such as semiconductor wafer workpieces.
  • the illustrated system is directed to a batch workpiece apparatus, it is readily adaptable for use in single workpiece processing as well.
  • the semiconductor workpieces 20 are preferably supported within the chamber 15 by one or more supports 25 extending from, for example, a rotor assembly 30 .
  • Rotor assembly 30 may seal with the housing of the treatment chamber 15 to form a sealed, closed processing environment. Further, rotor assembly 30 is provided so that the semiconductor workpieces 20 may be spun about axis 35 during or after treatment with the ozone and treatment liquid.
  • the chamber 15 has a volume which is minimized, and is as small as permitted by design considerations for any given capacity (i.e., the number and size of the substrates to be treated).
  • the chamber 15 is preferably cylindrical for processing multiple wafers in a batch, or a flatter disk-shaped chamber may be used for single wafer processing.
  • the chamber volume will range from about 5 liters, (for a single wafer) to about 50 liters (for a 50 wafer system).
  • One or more nozzles 40 are disposed within the treatment chamber 15 to direct a spray mixture of ozone and treatment liquid onto the surfaces of the semiconductor workpieces 20 that are to be treated.
  • the nozzles 40 direct a spray of treatment fluid to the underside of the semiconductor workpieces 20 .
  • the fluid spray may be directed alternatively, or in addition, to the upper surface of the semiconductor workpieces 20 .
  • the fluid may also be applied in other ways besides spraying, such as flowing, bulk deposition, immersion, etc.
  • Treatment liquid and ozone are preferably supplied to the nozzles 40 by system components uniquely arranged to provide a single fluid line comprising ozone mixed with the treating liquid.
  • a reservoir 45 defines a chamber 50 in which the liquid that is to be mixed with the ozone is stored.
  • the chamber 50 is in fluid communication with, or connected to, the input of a pump mechanism 55 .
  • the pump mechanism 55 provides the liquid under pressure along a fluid flow path, shown generally at 60 , for ultimate supply to the input of the nozzles 40 .
  • the preferred treatment fluid is deionized water. Other treatment fluids, such as other aqueous or non-aqueous solutions, may also be used.
  • Fluid flow path 60 may include a filter 65 to filter out microscopic contaminants from the treatment fluid.
  • the treatment fluid still under pressure, is provided at the output of the filter 65 (if used) along fluid flow line 70 .
  • Ozone is injected along fluid flow line 70 .
  • the ozone is generated by ozone generator 75 and is supplied along fluid flow line 80 under pressure to fluid flow line 70 .
  • the treatment liquid now injected with ozone, is supplied to the input of a mixer 90 that mixes the ozone and the treatment liquid.
  • the mixer 90 may be static or active.
  • the treatment liquid and ozone are provided to be input of nozzles 40 which, in turn, spray the liquid on the surface of the semiconductor workpieces 20 that are to be treated and, further, introduce the ozone into the environment of the treatment chamber 15 .
  • an output of the ozone generator 75 may be supplied to a dispersion unit 95 disposed in the liquid chamber 50 of the reservoir 45 .
  • the dispersion unit 95 provides a dispersed flow of ozone through the treatment liquid to thereby add ozone to the fluid stream prior to injection of a further amount of ozone along the fluid path 60 .
  • spent liquid in chamber 15 is provided along fluid line 105 to, for example, a valve mechanism 110 .
  • the valve mechanism 110 may be operated to provide the spent liquid to either a drain output 115 or back to the liquid chamber 50 of the reservoir 45 . Repeated cycling of the treatment liquid through the system and back to the reservoir 45 assists in elevating the ozone concentration in the liquid through repeated ozone injection and/or ozone dispersion.
  • the ozone generator 75 is preferably a high capacity ozone generator.
  • a high capacity ozone generator is the ASTeX 8403 Ozone Generator, manufactured by Applied Science and Technology, Inc., Woburn, Mass., U.S.A.
  • the ASTeX 8403 has an ozone production rating of 160 grams per hour. At this rate a flow of approximately 12 liters/minute and having a concentration of 19% ozone, by weight, can be supported.
  • Another example of a suitable high capacity ozone generator is the Sumitomo GR-RL Ozone Generator, manufactured by Sumitomo Precision Products Co., Ltd., Hyogo, Japan which has an ozone production rating of 180 g/hr.
  • the ozone generator 75 preferably has a capacity of at least 90 or 100 grams per hour, or 110 or 120 grams per hour, with the capacity more preferably of at least 135 grams per hour. In terms of flow rate and concentration, the capacity should be at least 10 liters per minute at 12%, 13%, 14%, 15% ( or higher) concentration by weight. Lower flow rate applications, such as with single wafer processing, may have higher concentrations of e.g., 16-19 or greater.
  • Use of a high capacity ozone generator is especially useful in connection with the methods and apparatus of the present application, because the present methods and apparatus provide for the delivery of ozone independent of the processing fluid.
  • FIG. 1 (as well as the other Figures) illustrates various components and connections. While showing preferred designs, the drawings include elements which may or may not be essential to the invention. The elements essential to the invention are set forth in the claims. The drawings show both essential and non-essential elements.
  • FIG. 2 A further embodiment of a system for delivering a fluid mixture for treating the surface of a semiconductor workpiece is illustrated in FIG. 2 .
  • the system 120 of FIG. 2 appears to be substantially similar to the system 10 of FIG. 1 , there are significant differences.
  • the system 120 of FIG. 2 is based in part on the concept that the heating of the surfaces of the semiconductor workpieces 20 with a heated liquid that is supplied along with a flow of ozone that creates an ozonated atmosphere is highly effective in photoresist stripping, ash removal, and/or cleaning processes.
  • the system 120 therefore preferably includes one or more heaters 125 that are used to heat the treatment liquid so that it is supplied to the surfaces of the semiconductor workpieces at an elevated temperature that accelerates the surface reactions.
  • supports 25 may include heating elements that may be used to heat the workpieces 20 .
  • the chamber 15 may include a heater for elevating the temperature of the chamber environment and workpieces.
  • the preferred treatment liquid is deionized water, since it appears to be required to initiate the cleaning/removal reactions at the workpiece surface, apparently through hydrolysis of the carbon-carbon bonds of organic molecules.
  • significant amounts of water can form a continuous film on the semiconductor workpiece surface. This film acts as a diffusion barrier to the ozone, thereby inhibiting reaction rates.
  • the boundary layer thickness is controlled by controlling the rpm of the semiconductor workpiece, vapor delivery, and controlled spraying of the treatment liquid, or a combination of one or more of these techniques. By reducing the boundary layer thickness, the ozone is allowed to diffuse to the surface of the workpieces and react with the organic materials that are to be removed.
  • FIG. 3 illustrates one embodiment of a process that may be implemented in the system of FIG. 2 when the system 120 is used, for example, to strip photoresist from the surfaces of semiconductor workpieces.
  • the workpieces 20 that are to be stripped are placed in, for example, a Teflon wafer cassette.
  • This cassette is placed in a closed environment, such as in chamber 15 .
  • Chamber 15 and its corresponding components may be constructed based on a well known spray solvent system or spray acid such as those available from Semitool, Inc., of Kalispell, Mont., U.S.A..
  • the semiconductor workpieces 20 may be disposed in chamber 15 in a carrierless manner, with an automated processing system, such as described in U.S. Pat. No. 5,784,797.
  • heated deionized water is sprayed onto the surfaces of the semiconductor workpieces 20 .
  • the heated deionized water heats the surfaces of the semiconductor workpieces 20 as well as the enclosed environment of the chamber 15 .
  • a thin liquid film remains on the workpiece surfaces.
  • a surfactant may be added to the deionized water to assist in creating a thin liquid boundary layer on the workpiece surfaces.
  • the surfactant may be used in connection with hydrophilic surfaces as well.
  • Corrosion inhibitors may also be used with the aqueous ozone, thin boundary layer process.
  • the surface boundary layer of deionized water is controlled at step 210 using one or more techniques.
  • the semiconductor workpieces 20 may be rotated about axis 35 by rotor 30 to thereby generate centripetal accelerations that thin the boundary layer.
  • the flow rate of the deionized water may also be used to control the thickness of the surface boundary layer. Lowering of the flow rate results in decreased boundary layer thickness.
  • the manner in which the deionized water is injected into the chamber 15 may be used to control the boundary layer thickness.
  • Nozzles 40 may be designed to provide the deionized water as micro-droplets thereby resulting in a thin boundary layer.
  • ozone is injected into the fluid flow path 60 during the water spray, or otherwise provided to the internal chamber environment of chamber 15 . If the apparatus of FIG. 2 is utilized, the injection of the ozone continues after the spray has shut off. If the workpiece surface begins to dry, a brief spray is preferably activated to replenish the liquid film on the workpiece surface. This ensures that the exposed workpiece surfaces remain wetted at all times and, further, ensures that the workpiece temperature is and remains elevated at the desired reaction temperature.
  • the surface layer thickness may range from a few molecular layers (e.g., about 1 micron ), up to 100 microns, (typically 50-100 microns), or greater.
  • ozone While ozone has a limited solubility in the heated deionized water, the ozone is able to diffuse through the water and react with photoresist at the liquid/resist interface. It is believed that the presence of the deionized water itself further assists in the reactions by hydrolyzing the carbon-carbon bonds of organic deposits, such as photoresist, on the surface of the wafer.
  • the higher temperature promotes the reaction kinetics while the high concentration of ozone in the gas phase promotes diffusion of ozone through the boundary layer film even though the high temperature of the boundary layer film does not actually have a high concentration of dissolved ozone.
  • Elevated or higher temperatures means temperatures above ambient or room temperature, that is temperatures above 20 or 25° and up to about 200° C.
  • Preferred temperature ranges are 25-150°, more preferably 55-120° or 75-115° C., and still more preferably 85-105° C. In the methods described, temperatures of 90-100° C., and preferably centering around 95° C. may be used.
  • the workpieces are subject to a rinse at 220 and are dried at step 225 .
  • the workpieces may be sprayed with a flow of deionized water during the rinse at step 220 . They may then be subject to any one or more known drying techniques thereafter at step 225 .
  • elevated temperatures are used to accelerate the reaction rates at the wafer surface.
  • One manner in which the surface temperature of the wafer may be maximized is to maintain a constant delivery of heated processing liquid, such as water or steam, during the process.
  • the heated processing liquid contacts and heats the wafer during processing.
  • a constant delivery may result in significant waste of the water or other processing liquid.
  • a “pulsed flow” of liquid or steam may be used.
  • an alternative manner of maintaining the wafer surface temperature may be needed.
  • One such alternative is the use of a “hot wall” reactor that maintains the wafer surface and processing environment temperatures at the desired level.
  • the process chamber may be heated by, for example, one or more embedded heated recirculating coils, a heating blanket, irradiation from a thermal source (e.g., and infrared lamp), etc.
  • a 150 mm silicon wafer coated with 1 micron of photoresist was stripped in accordance with the teachings of the foregoing process.
  • the processing chamber was pre-heated by spraying deionized water that was heated to 95 degrees Celsius into the processing chamber for 10 minutes. During the cleaning process, a pulsed flow of deionized water heated to 95 degrees Celsius was used. The pulsed flow included an “on time” of approximately five seconds followed by an “off time” of 10 seconds.
  • the wafer was rotated at 800 rpm and the pulsed flow of deionized water was sprayed into the processing chamber through nine nozzles at a rate of 3 liters per minute. Ozone was injected into the processing chamber through a separate manifold at a rate of 8 liters per minute at a concentration of 12 percent. The resultant strip rate was 7234 Angstroms/min.
  • the resultant strip rates can be further increased to in excess of 8800 Angstroms/minute.
  • the conventional 4-chem clean process may be reduced to a two-chemical step process while retaining the ability to remove organics, remove particulates, reduce metals and remove silicon dioxide. Process times, chemical consumption, water consumption and waste generation are all also significantly reduced.
  • a further benefit of the foregoing process is its applicability to both FEOL and BEOL wafers and strip processes. Laboratory tests indicate that there is no attack on metals such as aluminum, titanium, tungsten, etc. A known exception is copper, which forms a copper oxide in the presence of ozone. This oxide is not a “hard” and uniform passivation oxide, such as the oxide that forms on metals like aluminum. As a result, the oxide can be readily removed.
  • a still further benefit is the higher ozone flow rates and concentrations can be used to produce higher strip rates under various processing conditions including lower wafer rotational speeds and reduced temperatures.
  • Use of lower temperatures (between 25 and 75° C. and preferably from 25-65° C. (rather than at e.g., 95° C. as described above) may be useful where higher temperatures are undesirable.
  • One example where this is beneficial is the use of the process with BEOL wafers, wherein metal corrosion may occur if the metal films are exposed to high temperature de-ionized water.
  • processing at ambient temperatures may be preferred.
  • the gain in strip rates not realized, as a result of not using higher temperatures, is offset by increases in strip rate due to the increased ozone flow rates and concentrations.
  • the use of higher ozone concentration can offset the loss of kinetic energy from using lower temperatures.
  • process steps 205 - 215 may be executed in a substantially concurrent manner. Additionally, it will be recognized that process steps 205 - 215 may be sequentially repeated using different processing liquids. In such instances, each of the processing liquids that are used may be specifically tailored to remove a respective set of contaminants. Preferably, however, it is desirable to use as few different processing liquids as possible. By reducing the number of different processing liquids utilized, the overall cleaning process is simplified and reducing the number of different processing liquids utilized minimizes chemical consumption.
  • a single processing liquid may be used to remove organic contaminants, metals, and particles in a single cycle of process steps 205 - 215 .
  • the processing liquid is comprised of a solution of deionized water and one or more compounds, such as HF or HCl, so as to form an acidic processing liquid solution.
  • Typical chemical application times are in the range of 1:00 to 5:00 minutes. Compared to a 4-chem clean process time of around 20:00 minutes, the disclosed process with an HF and/or HCl containing processing liquid becomes very attractive.
  • Typical H20:HF:HCl concentration ratios are on the order of 500:1:1 to 50:1:1, with and without HF and/or HC1. Higher concentrations are possible, but the economic benefits are diminished. It is important to note that gaseous HF or HCl could be injected into water to create the desired cleaning chemistry as well. Due to differences in processor configurations and desired cleaning requirements, definition of specific cleaning process parameters will vary based on these differences and requirements.
  • the process benefits include the following:
  • aqueous ozone processes show a strip rate on photoresist (a hydrocarbon film) of around 200-700 angstroms per minute.
  • photoresist a hydrocarbon film
  • the rate is accelerated to 2500 to 8800. angstroms per minute in a spray controlled boundary layer, or higher when the boundary layer is generated and controlled using steam at 15 psi and 126 degrees C.
  • nozzles 230 are disposed within the treatment chamber 15 to conduct ozone from ozone generator 75 directly into the reaction environment.
  • the heated treatment fluid is provided to the chamber 15 through nozzles 40 that receive the treatment fluid, such as heated deionized water, through a supply line that is separate from the ozone supply line.
  • injection of ozone in fluid path 60 is optional.
  • FIG. 5 Another embodiment of an ozone treatment system is shown generally at 250 in FIG. 5 .
  • a steam boiler 260 that supplies saturated steam under pressure to the process chamber 15 has replaced the pump mechanism.
  • the reaction chamber 15 is preferably sealed to thereby form a pressurized atmosphere for the reactions.
  • saturated steam at 126 degrees Celsius could be generated by steam boiler 260 and supplied to reaction chamber 15 to generate a pressure of 35 psia therein during the workpiece processing.
  • Ozone may be directly injected into the chamber 15 as shown, and/or may be injected into the path 60 for concurrent supply with the steam.
  • the steam generator in FIG. 5 may be replaced with a heater(s) as shown in FIGS. 1-4 . While FIGS. 4 and 5 show the fluid and ozone delivered via separate nozzles 40 , they may also be delivered from the same nozzles, using appropriate valves.
  • FIG. 6 A still further enhancement that may be made to any one of the foregoing systems is illustrated in FIG. 6 .
  • an ultra-violet or infrared lamp 300 is used to irradiate the surface of the semiconductor workpiece 20 during processing. Such irradiation further enhances the reaction kinetics.
  • this irradiation technique is applicable to batch semiconductor workpiece processing, it is more easily and economically implemented in the illustrated single wafer processing environment where the workpiece is more easily completely exposed to the UV radiation. Megasonic or ultrasonic nozzles 40 may also be used.
  • the ozone gas may be separately sprayed, or otherwise introduced as a gas into the process chamber, where it can diffuse through the liquid boundary layer on the workpiece.
  • the fluid is preferably heated and sprayed or otherwise applied to the workpiece, without ozone injected into the fluid before the fluid is applied to the workpiece.
  • the ozone may be injected into the fluid, and then the ozone containing fluid applied to the workpiece.
  • the heating preferably is performed before the ozone is injected into the fluid, to reduce the amount of ozone breakdown in the fluid during the fluid heating.
  • the fluid will contain some dissolved ozone, and may also contain ozone bubbles.
  • the presently disclosed apparatus and methods may be used to treat workpieces beyond the semiconductor workpieces described above.
  • other workpieces such as flat panel displays, hard disk media, CD glass, etc, may also have their surfaces treated using the foregoing apparatus and methods.
  • treatment liquid for the disclosed application is deionized water
  • other treatment liquids may also be used.
  • acidic and basic solutions may be used, depending on the particular surface to be treated and the material that is to be removed.
  • Treatment liquids comprising sulfuric acid, hydrochloric acid, and ammonium hydroxide may be useful in various applications.
  • one aspect of the process is the use of steam (Water vapor at temperatures exceeding 100 C) to enhance the strip rate of photoresist in the presence of an ozone environment.
  • steam Water vapor at temperatures exceeding 100 C
  • Preliminary testing shows that a process using hot water at 95 C produces a photoresist strip rate of around 3000-4000 angstroms per minute.
  • Performing a similar process using steam at 120-130 C results in a strip rate of around 7000-8000 angstroms per minute.
  • the resultant strip rate is not sustainable.
  • the high strip rate is achieved only when the steam condenses on the wafer surface.
  • the wafer temperature rapidly approaches thermal equilibrium with the steam, and as equilibrium is achieved, there is no longer a thermal gradient to promote the formation of the condensate film. This results in the loss of the liquid boundary layer on the wafer surface.
  • the boundary layer appears to be essential to promote the oxidation of the organic materials on the wafer surface.
  • the absence of the liquid film results in a significant drop in the strip rate on photoresist.
  • a method for maintaining the temperature of a surface such as a semiconductor wafer surface is provided to ensure that condensation from a steam environment continues indefinitely, thereby enabling the use of steam in applications such as photoresist strip in the presence of ozone.
  • the formation of the liquid boundary layer is assured, as well as the release of significant amounts of energy as the steam condenses.
  • the wafer surface must be maintained at a temperature lower than that of the steam delivered to the process chamber. This may be achieved by attaching the wafer to a temperature-controlled surface or plate 350 which will act as a heat sink. This surface may then be temperature controlled either through the use of cooling coils, a solid-state heat exchanger, or other means.
  • a temperature-controlled stream of liquid is delivered to the back surface of a wafer, while steam and ozone are delivered to an enclosed process region and the steam is allowed to condense on the wafer surface.
  • the wafer may be rotated to promote uniform distribution of the boundary layer, as well as helping to define the thickness of the boundary layer through centrifugal force.
  • rotation is not an absolute requirement.
  • the cooling stream must be at a temperature lower than the steam. If the cooling stream is water, a temperature of 75 or 85-95 C is preferably used, with steam temperatures in excess of 100 C.
  • pulsed spray of cooling liquid is applied periodically to reduce the wafer temperature.
  • Steam delivery may either be continuous or pulsed as well.
  • the wafer may be in any orientation and additives such as hydrofluoric acid, ammonium hydroxide or some other chemical may be added to the system to promote the cleaning of the surface or the removal of specific classes of materials other than or in addition to organic materials.
  • This process enables the use of temperatures greater than 100 C to promote reaction kinetics in the water/ozone system for the purpose of removing organic or other materials from a surface. It helps ensure the continuous formation of a condensate film by preventing the surface from achieving thermal equilibrium with the steam. It also takes advantage of the liberated heat of vaporization in order to promote reaction rates and potentially allow the removal of more difficult materials which may require more energy than can be readily delivered in a hot water process.

Abstract

A novel chemistry, system and application technique reduces contamination of semiconductor wafers and similar substrates and enhances and expedites processing. A stream of liquid chemical is applied to the workpiece surface. Ozone is delivered either into the liquid process stream or into the process environment. The ozone is preferably generated by a high capacity ozone generator. The chemical stream is provided in the form of a liquid or vapor. A boundary layer of liquid or vapor forms on the workpiece surface. The thickness of the boundary layer is controlled. The chemical stream may include ammonium hydroxide for simultaneous particle and organic removal, another chemical to raise the pH of the solution, or other chemical additives designed to accomplish one or more specific cleaning steps.

Description

  • This Application is a Continuation of U.S. patent application Ser. No. 09/621,028, filed Jul. 21, 2000 and now pending and incorporated herein by reference, which is a Continuation-in-Part of U.S. patent application Ser. No. PCT/US99/08516, which is a Continuation-in-Part of U.S. patent application Ser. No. 09/061,318, filed Apr. 16, 1998 and now abandoned, which is a Continuation-in-Part of U.S. patent application Ser. No. 08/853,649, filed May 9, 1997, now U.S. Pat. No. 6,240,933. This Application also is a Continuation-in-Part of U.S. patent application Ser. No. 60/145,350, filed Jul. 23,1999.
  • FIELD OF THE INVENTION
  • The cleaning of semiconductor wafers is often a critical step in the fabrication processes used to manufacture integrated circuits or the like. The geometries on wafers are often on the order of fractions of a micron, while the film thicknesses may be on the order of 20 Angstroms. This renders the devices highly susceptible to performance degradation due to organic, particulates or metallic/ionic contamination.
  • Although wafer cleaning has a long history, the era of modern cleaning techniques is generally considered to have begun in the early 1970s when RCA developed a cleaning sequence to address the various types of contamination. Although others developed the same or similar processes in the same time frame, the general cleaning sequence in its final form is basically the same.
  • While this process has been effective for a number of years, it nevertheless has certain deficiencies. Such deficiencies include the high cost of chemicals, the lengthy process time required to get wafers through the various cleaning steps, high consumption of water due to the need for extensive rinsing between chemical steps, and high disposal costs. The result has been an effort to devise alternative cleaning processes that yield results as good as or better than the existing four-chemistry clean process, but which are more economically attractive.
  • Various chemical processes have been developed in an attempt to replace the existing cleaning process. However, such cleaning processes have failed to fully address all of the major cleaning concerns of the semiconductor processing industry. More particularly, they have failed to fully address the problem of minimizing contamination from one or more of the following contaminants: organics, particles, metals/ions, and silicon dioxide.
  • STATEMENT OF THE INVENTION
  • A novel chemistry, application technique, and system is used to reduce the contamination and speed up processing in the manufacturing of semiconductor wafers, memory disks, photomasks, optical media, and other substrates (collectively referred to here as “wafers”) requiring a high level of clean. Contamination may occur from organics, particles, metal/ions, and silicon dioxide. Cleaning of wafers is achieved by delivery of a chemical stream to the workpiece surface. Ozone is delivered into the process environment. The chemical stream, which may be in the form of a liquid or vapor, is applied to the wafer in a system which allows for control of the liquid boundary layer thickness.
  • While ozone has a limited solubility in the hot liquid solution, it is still able to diffuse through the solution and react with the surface of the wafer (whether it is silicon, photoresist, etc.) at the liquid/solid interface. Thus diffusion, rather than dissolution, is the primary mechanism used to deliver ozone to the surfaces of the wafers. Water apparently helps to hydrolyze carbon-carbon bonds or accelerate the oxidation of silicon surfaces by hydrolyzing silicon-hydrogen or silicon-hydroxyl bonds. The elevated temperature promotes the reaction kinetics and the high concentration of ozone in the gas phase promotes diffusion of the ozone through the liquid film, even though the increased temperature of the liquid film does not result in a solution having a high concentration of ozone dissolved in it.
  • The flow of ozone can be delivered to the process chamber through a vapor generator or the like. Such a generator is filled with water, which is temperature controlled. Thus the ozone gas stream is enriched with water vapor which maintains the boundary layer on each wafer surface at a minimal thickness so that the layer does not inhibit diffusion. At the same time, such delivery assists in preventing the wafers from drying completely during the process.
  • A high capacity ozone generator is preferably used to produce a mixed effluent containing a high concentration of ozone in combination with a high flow rate. A higher concentration of ozone increases the quantity of ozone provided to the surface of the wafer. A higher flow rate increases the rate at which fresh reactants are replenished, and spent or exhausted reactants are carried away from the wafer.
  • Purely maximizing the concentration of ozone is not optimal for process performance, as the amount of ozone then generated is then too small to create an adequate concentration within the process chamber. On the other hand, simply maximizing flow rate or volume, without sufficient concentration will result in rapid depletion of ozone in the process chamber (as a the ozone will react rapidly with organic materials in the process chamber). Thus, both high concentration and high flow rates are needed.
  • To further enhance the process, the temperature of the liquid supply (water supply) can be heated to generate a supply of saturated steam under pressure to the process chamber. Under such circumstances, it is possible to achieve wafer surface temperatures in excess of 100 degrees Celsius, thereby further accelerating the reaction kinetics. A steam generator may be used to pressurize the process chamber to achieve the desired temperatures. For example, saturated steam at 126 degrees Celsius may be used with a corresponding increase in the pressure of the process chamber to 240 K Pa (35 psia). The increased pressure within the processing chamber also provides for use of higher ozone concentrations, thereby generating a higher diffusion gradient across the boundary layer at the surface of each wafer. The process is applicable to various manufacturing steps that require cleaning or selective removal of contaminants from the surface of a workpiece. For example, one or more of the steps may be used to remove photoresist from the surface of a semiconductor wafer.
  • Novel aspects include:
  • 1) The use of a temperature controlled liquid chemical source delivered to the wafer surface to stabilize the temperature of the wafer and, depending on the liquid utilized, provide a supply of water to support hydrolysis of the carbon-carbon bonds of contaminants at the surface of each wafer.
  • 2) The control of the thickness of the boundary layer of liquid present on the wafer surface so that it is not of sufficient thickness to significantly inhibit the diffusion of ozone to the wafer surface. As such, the ozone is allowed to diffuse through the controlled boundary layer, where it can oxidize silicon, organics, or metals at the surface, or otherwise support any desired reaction. The boundary layer may be controlled through the control of wafer rotation rate, vapor delivery, controlled liquid spray, the use of steam, the use of surfactants or a combination of more than one of these techniques.
  • 3) The process takes place in an enclosed processing chamber, which may or may not be used to produce a pressurized processing environment.
  • 4) The process utilizes a mixed effluent having a higher concentration of ozone in combination with a higher flow rate for increasing the rate at which fresh reactants are supplied to the surface of the wafer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic block diagram of one embodiment of an apparatus for treating a semiconductor workpiece in which ozone is injected into a line containing a pressurized treatment liquid.
  • FIG. 2 is a schematic block diagram of one embodiment of an apparatus for treating a semiconductor workpiece in which the semiconductor workpiece is indirectly heated by heating a treatment liquid that is sprayed on the surface of the workpiece.
  • FIG. 3 is a flow diagram illustrating one embodiment of a process flow for treating a semiconductor workpiece with a treatment fluid and ozone.
  • FIG. 4 is a schematic block diagram of an alternative embodiment of the system set forth in FIG. 2 wherein the ozone and treatment fluid are provided to the semiconductor workpiece along different flow paths.
  • FIG. 5 is a schematic block diagram of an embodiment of an apparatus for treating a semiconductor workpiece in which pressurized steam and ozone are provided in a pressurized chamber containing a semiconductor workpiece.
  • FIG. 6 is a schematic block diagram of an embodiment of an apparatus for treating a semiconductor workpiece in which an ultra-violet lamp is used to enhance the kinetic reactions at the surface of the workpiece.
  • DETAILED DESCRIPTION OF THE INVENTION
  • Referring to FIG. 1, the treatment system, shown generally at 10, includes a treatment chamber 15 that contains one or more workpieces 20, such as semiconductor wafer workpieces. Although the illustrated system is directed to a batch workpiece apparatus, it is readily adaptable for use in single workpiece processing as well.
  • The semiconductor workpieces 20 are preferably supported within the chamber 15 by one or more supports 25 extending from, for example, a rotor assembly 30. Rotor assembly 30 may seal with the housing of the treatment chamber 15 to form a sealed, closed processing environment. Further, rotor assembly 30 is provided so that the semiconductor workpieces 20 may be spun about axis 35 during or after treatment with the ozone and treatment liquid.
  • The chamber 15 has a volume which is minimized, and is as small as permitted by design considerations for any given capacity (i.e., the number and size of the substrates to be treated). The chamber 15 is preferably cylindrical for processing multiple wafers in a batch, or a flatter disk-shaped chamber may be used for single wafer processing. Typically, the chamber volume will range from about 5 liters, (for a single wafer) to about 50 liters (for a 50 wafer system).
  • One or more nozzles 40 are disposed within the treatment chamber 15 to direct a spray mixture of ozone and treatment liquid onto the surfaces of the semiconductor workpieces 20 that are to be treated. In the illustrated embodiment, the nozzles 40 direct a spray of treatment fluid to the underside of the semiconductor workpieces 20. However, the fluid spray may be directed alternatively, or in addition, to the upper surface of the semiconductor workpieces 20. The fluid may also be applied in other ways besides spraying, such as flowing, bulk deposition, immersion, etc.
  • Treatment liquid and ozone are preferably supplied to the nozzles 40 by system components uniquely arranged to provide a single fluid line comprising ozone mixed with the treating liquid. A reservoir 45 defines a chamber 50 in which the liquid that is to be mixed with the ozone is stored. The chamber 50 is in fluid communication with, or connected to, the input of a pump mechanism 55. The pump mechanism 55 provides the liquid under pressure along a fluid flow path, shown generally at 60, for ultimate supply to the input of the nozzles 40. The preferred treatment fluid is deionized water. Other treatment fluids, such as other aqueous or non-aqueous solutions, may also be used.
  • Fluid flow path 60 may include a filter 65 to filter out microscopic contaminants from the treatment fluid. The treatment fluid, still under pressure, is provided at the output of the filter 65 (if used) along fluid flow line 70. Ozone is injected along fluid flow line 70. The ozone is generated by ozone generator 75 and is supplied along fluid flow line 80 under pressure to fluid flow line 70. Optionally, the treatment liquid, now injected with ozone, is supplied to the input of a mixer 90 that mixes the ozone and the treatment liquid. The mixer 90 may be static or active. From the mixer 90, the treatment liquid and ozone are provided to be input of nozzles 40 which, in turn, spray the liquid on the surface of the semiconductor workpieces 20 that are to be treated and, further, introduce the ozone into the environment of the treatment chamber 15.
  • To further concentrate the ozone in the treatment liquid, an output of the ozone generator 75 may be supplied to a dispersion unit 95 disposed in the liquid chamber 50 of the reservoir 45. The dispersion unit 95 provides a dispersed flow of ozone through the treatment liquid to thereby add ozone to the fluid stream prior to injection of a further amount of ozone along the fluid path 60.
  • In the embodiment of the system of FIG. 1, spent liquid in chamber 15 is provided along fluid line 105 to, for example, a valve mechanism 110. The valve mechanism 110 may be operated to provide the spent liquid to either a drain output 115 or back to the liquid chamber 50 of the reservoir 45. Repeated cycling of the treatment liquid through the system and back to the reservoir 45 assists in elevating the ozone concentration in the liquid through repeated ozone injection and/or ozone dispersion.
  • The ozone generator 75 is preferably a high capacity ozone generator. One example of a high capacity ozone generator is the ASTeX 8403 Ozone Generator, manufactured by Applied Science and Technology, Inc., Woburn, Mass., U.S.A. The ASTeX 8403 has an ozone production rating of 160 grams per hour. At this rate a flow of approximately 12 liters/minute and having a concentration of 19% ozone, by weight, can be supported. Another example of a suitable high capacity ozone generator is the Sumitomo GR-RL Ozone Generator, manufactured by Sumitomo Precision Products Co., Ltd., Hyogo, Japan which has an ozone production rating of 180 g/hr. The ozone generator 75 preferably has a capacity of at least 90 or 100 grams per hour, or 110 or 120 grams per hour, with the capacity more preferably of at least 135 grams per hour. In terms of flow rate and concentration, the capacity should be at least 10 liters per minute at 12%, 13%, 14%, 15% ( or higher) concentration by weight. Lower flow rate applications, such as with single wafer processing, may have higher concentrations of e.g., 16-19 or greater.
  • Use of a high capacity ozone generator is especially useful in connection with the methods and apparatus of the present application, because the present methods and apparatus provide for the delivery of ozone independent of the processing fluid.
  • In previous methods the ozone was dissolved into the aqueous solution in order to make it available for the oxidation process on the surface of the semiconductor wafer. This limited the amount of ozone, which could be delivered to the surface of the semiconductor wafer, to the amount of ozone which could be dissolved into the processing fluid. Correspondingly, there was no incentive to use higher capacity ozone generators, because any excess ozone produced would not be absorbed by the process fluid, and would eventually dissipate and be lost.
  • FIG. 1, (as well as the other Figures) illustrates various components and connections. While showing preferred designs, the drawings include elements which may or may not be essential to the invention. The elements essential to the invention are set forth in the claims. The drawings show both essential and non-essential elements.
  • A further embodiment of a system for delivering a fluid mixture for treating the surface of a semiconductor workpiece is illustrated in FIG. 2. Although the system 120 of FIG. 2 appears to be substantially similar to the system 10 of FIG. 1, there are significant differences. The system 120 of FIG. 2 is based in part on the concept that the heating of the surfaces of the semiconductor workpieces 20 with a heated liquid that is supplied along with a flow of ozone that creates an ozonated atmosphere is highly effective in photoresist stripping, ash removal, and/or cleaning processes. The system 120 therefore preferably includes one or more heaters 125 that are used to heat the treatment liquid so that it is supplied to the surfaces of the semiconductor workpieces at an elevated temperature that accelerates the surface reactions. It is also possible to directly heat the workpieces to stimulate the reactions. Such heating may take place in addition to or instead of the indirect heating of the workpieces through contact with the heated treatment liquid. For example, supports 25 may include heating elements that may be used to heat the workpieces 20. The chamber 15 may include a heater for elevating the temperature of the chamber environment and workpieces.
  • The preferred treatment liquid is deionized water, since it appears to be required to initiate the cleaning/removal reactions at the workpiece surface, apparently through hydrolysis of the carbon-carbon bonds of organic molecules. However, significant amounts of water can form a continuous film on the semiconductor workpiece surface. This film acts as a diffusion barrier to the ozone, thereby inhibiting reaction rates. The boundary layer thickness is controlled by controlling the rpm of the semiconductor workpiece, vapor delivery, and controlled spraying of the treatment liquid, or a combination of one or more of these techniques. By reducing the boundary layer thickness, the ozone is allowed to diffuse to the surface of the workpieces and react with the organic materials that are to be removed.
  • FIG. 3 illustrates one embodiment of a process that may be implemented in the system of FIG. 2 when the system 120 is used, for example, to strip photoresist from the surfaces of semiconductor workpieces. At step 200, the workpieces 20 that are to be stripped are placed in, for example, a Teflon wafer cassette. This cassette is placed in a closed environment, such as in chamber 15. Chamber 15 and its corresponding components may be constructed based on a well known spray solvent system or spray acid such as those available from Semitool, Inc., of Kalispell, Mont., U.S.A.. Alternatively, the semiconductor workpieces 20 may be disposed in chamber 15 in a carrierless manner, with an automated processing system, such as described in U.S. Pat. No. 5,784,797.
  • At step 205, heated deionized water is sprayed onto the surfaces of the semiconductor workpieces 20. The heated deionized water heats the surfaces of the semiconductor workpieces 20 as well as the enclosed environment of the chamber 15. When the spray is discontinued, a thin liquid film remains on the workpiece surfaces. If the surface is hydrophobic, a surfactant may be added to the deionized water to assist in creating a thin liquid boundary layer on the workpiece surfaces. The surfactant may be used in connection with hydrophilic surfaces as well. Corrosion inhibitors may also be used with the aqueous ozone, thin boundary layer process.
  • The surface boundary layer of deionized water is controlled at step 210 using one or more techniques. For example, the semiconductor workpieces 20 may be rotated about axis 35 by rotor 30 to thereby generate centripetal accelerations that thin the boundary layer. The flow rate of the deionized water may also be used to control the thickness of the surface boundary layer. Lowering of the flow rate results in decreased boundary layer thickness. Still further, the manner in which the deionized water is injected into the chamber 15 may be used to control the boundary layer thickness. Nozzles 40 may be designed to provide the deionized water as micro-droplets thereby resulting in a thin boundary layer.
  • At step 215, ozone is injected into the fluid flow path 60 during the water spray, or otherwise provided to the internal chamber environment of chamber 15. If the apparatus of FIG. 2 is utilized, the injection of the ozone continues after the spray has shut off. If the workpiece surface begins to dry, a brief spray is preferably activated to replenish the liquid film on the workpiece surface. This ensures that the exposed workpiece surfaces remain wetted at all times and, further, ensures that the workpiece temperature is and remains elevated at the desired reaction temperature. It has been found that a continuous spray of deionized water having a flow rate that is sufficient to maintain the workpiece surfaces at an elevated temperature, and high rotational speeds (i.e., >300 rpm, between 300 and 800 rpm, or even as high as or greater than 1500 rpm) generates a very thin boundary layer which minimizes the ozone diffusion barrier and thereby leads to an enhanced photoresist stripping rate. As such, the control of the boundary layer thickness is used to regulate the diffusion of reactive ozone to the surface of the wafer.
  • The surface layer thickness may range from a few molecular layers (e.g., about 1 micron ), up to 100 microns, (typically 50-100 microns), or greater.
  • While ozone has a limited solubility in the heated deionized water, the ozone is able to diffuse through the water and react with photoresist at the liquid/resist interface. It is believed that the presence of the deionized water itself further assists in the reactions by hydrolyzing the carbon-carbon bonds of organic deposits, such as photoresist, on the surface of the wafer. The higher temperature promotes the reaction kinetics while the high concentration of ozone in the gas phase promotes diffusion of ozone through the boundary layer film even though the high temperature of the boundary layer film does not actually have a high concentration of dissolved ozone.
  • Elevated or higher temperatures means temperatures above ambient or room temperature, that is temperatures above 20 or 25° and up to about 200° C.
  • Preferred temperature ranges are 25-150°, more preferably 55-120° or 75-115° C., and still more preferably 85-105° C. In the methods described, temperatures of 90-100° C., and preferably centering around 95° C. may be used.
  • After the semiconductor workpieces 20 have been processed through the reactions of the ozone and/or liquid with the materials to the removed, the workpieces are subject to a rinse at 220 and are dried at step 225. For example, the workpieces may be sprayed with a flow of deionized water during the rinse at step 220. They may then be subject to any one or more known drying techniques thereafter at step 225.
  • In the described processes, elevated temperatures are used to accelerate the reaction rates at the wafer surface. One manner in which the surface temperature of the wafer may be maximized is to maintain a constant delivery of heated processing liquid, such as water or steam, during the process. The heated processing liquid contacts and heats the wafer during processing. However, such a constant delivery may result in significant waste of the water or other processing liquid. In order to conserve water and achieve the thinnest possible boundary layer, a “pulsed flow” of liquid or steam may be used. In instances in which such a “pulsed flow” fails to maintain the requisite elevated wafer surface temperatures, an alternative manner of maintaining the wafer surface temperature may be needed. One such alternative is the use of a “hot wall” reactor that maintains the wafer surface and processing environment temperatures at the desired level. To this end, the process chamber may be heated by, for example, one or more embedded heated recirculating coils, a heating blanket, irradiation from a thermal source (e.g., and infrared lamp), etc.
  • In laboratory experiments, a 150 mm silicon wafer coated with 1 micron of photoresist was stripped in accordance with the teachings of the foregoing process. The processing chamber was pre-heated by spraying deionized water that was heated to 95 degrees Celsius into the processing chamber for 10 minutes. During the cleaning process, a pulsed flow of deionized water heated to 95 degrees Celsius was used. The pulsed flow included an “on time” of approximately five seconds followed by an “off time” of 10 seconds. The wafer was rotated at 800 rpm and the pulsed flow of deionized water was sprayed into the processing chamber through nine nozzles at a rate of 3 liters per minute. Ozone was injected into the processing chamber through a separate manifold at a rate of 8 liters per minute at a concentration of 12 percent. The resultant strip rate was 7234 Angstroms/min.
  • At a higher ozone flow rate, made possible by using a high capacity ozone generator for injecting ozone into. the processing chamber at a rate of 12 liters per minute and having a concentration of 19 percent, the resultant strip rates can be further increased to in excess of 8800 Angstroms/minute.
  • There are many benefits resulting from the use of the semiconductor cleaning processes described above. One of the most significant benefits is that the conventional 4-chem clean process may be reduced to a two-chemical step process while retaining the ability to remove organics, remove particulates, reduce metals and remove silicon dioxide. Process times, chemical consumption, water consumption and waste generation are all also significantly reduced. A further benefit of the foregoing process is its applicability to both FEOL and BEOL wafers and strip processes. Laboratory tests indicate that there is no attack on metals such as aluminum, titanium, tungsten, etc. A known exception is copper, which forms a copper oxide in the presence of ozone. This oxide is not a “hard” and uniform passivation oxide, such as the oxide that forms on metals like aluminum. As a result, the oxide can be readily removed.
  • A still further benefit is the higher ozone flow rates and concentrations can be used to produce higher strip rates under various processing conditions including lower wafer rotational speeds and reduced temperatures. Use of lower temperatures (between 25 and 75° C. and preferably from 25-65° C. (rather than at e.g., 95° C. as described above) may be useful where higher temperatures are undesirable.
  • One example where this is beneficial is the use of the process with BEOL wafers, wherein metal corrosion may occur if the metal films are exposed to high temperature de-ionized water. Correspondingly, processing at ambient temperatures may be preferred. The gain in strip rates not realized, as a result of not using higher temperatures, is offset by increases in strip rate due to the increased ozone flow rates and concentrations. The use of higher ozone concentration can offset the loss of kinetic energy from using lower temperatures.
  • With reference again to FIG. 3, it will be recognized that process steps 205-215 may be executed in a substantially concurrent manner. Additionally, it will be recognized that process steps 205-215 may be sequentially repeated using different processing liquids. In such instances, each of the processing liquids that are used may be specifically tailored to remove a respective set of contaminants. Preferably, however, it is desirable to use as few different processing liquids as possible. By reducing the number of different processing liquids utilized, the overall cleaning process is simplified and reducing the number of different processing liquids utilized minimizes chemical consumption.
  • A single processing liquid may be used to remove organic contaminants, metals, and particles in a single cycle of process steps 205-215. The processing liquid is comprised of a solution of deionized water and one or more compounds, such as HF or HCl, so as to form an acidic processing liquid solution.
  • The steps and parameters described above for the ozone processes apply as well to the ozone with HF and ozone process. These processes may be carried out on batches of workpieces in apparatus such as described in U.S. Pat. No. 5,544,421, or on individual workpieces in an apparatus such as described in PCT/US99/05676.
  • Typical chemical application times are in the range of 1:00 to 5:00 minutes. Compared to a 4-chem clean process time of around 20:00 minutes, the disclosed process with an HF and/or HCl containing processing liquid becomes very attractive. Typical H20:HF:HCl concentration ratios are on the order of 500:1:1 to 50:1:1, with and without HF and/or HC1. Higher concentrations are possible, but the economic benefits are diminished. It is important to note that gaseous HF or HCl could be injected into water to create the desired cleaning chemistry as well. Due to differences in processor configurations and desired cleaning requirements, definition of specific cleaning process parameters will vary based on these differences and requirements.
  • The process benefits include the following:
  • 1. Reduction in the amount and types of chemicals used in the cleaning process.
  • 2. Reduction in water consumption by the elimination of the numerous intermediate rinse steps required.
  • 3. Reduction in process time.
  • 4. Simplification of process hardware.
  • In the case of oxidizing and removing organic contamination, conventional aqueous ozone processes show a strip rate on photoresist (a hydrocarbon film) of around 200-700 angstroms per minute. In the boundary layer controlled system of the disclosed processes, the rate is accelerated to 2500 to 8800. angstroms per minute in a spray controlled boundary layer, or higher when the boundary layer is generated and controlled using steam at 15 psi and 126 degrees C.
  • With reference to FIG. 4, there is shown yet a further embodiment of the ozone treatment system 227. In the embodiment of FIG. 4, one or more nozzles 230 are disposed within the treatment chamber 15 to conduct ozone from ozone generator 75 directly into the reaction environment. The heated treatment fluid is provided to the chamber 15 through nozzles 40 that receive the treatment fluid, such as heated deionized water, through a supply line that is separate from the ozone supply line. As such, injection of ozone in fluid path 60 is optional.
  • Another embodiment of an ozone treatment system is shown generally at 250 in FIG. 5. In the system 250, a steam boiler 260 that supplies saturated steam under pressure to the process chamber 15 has replaced the pump mechanism. The reaction chamber 15 is preferably sealed to thereby form a pressurized atmosphere for the reactions. For example, saturated steam at 126 degrees Celsius could be generated by steam boiler 260 and supplied to reaction chamber 15 to generate a pressure of 35 psia therein during the workpiece processing. Ozone may be directly injected into the chamber 15 as shown, and/or may be injected into the path 60 for concurrent supply with the steam. Using the system architecture of this embodiment, it is thus possible to achieve semiconductor workpiece surface temperatures in excess of 100 degrees Celsius, thereby further accelerating the reaction kinetics. The steam generator in FIG. 5 may be replaced with a heater(s) as shown in FIGS. 1-4. While FIGS. 4 and 5 show the fluid and ozone delivered via separate nozzles 40, they may also be delivered from the same nozzles, using appropriate valves.
  • A still further enhancement that may be made to any one of the foregoing systems is illustrated in FIG. 6. In this embodiment, an ultra-violet or infrared lamp 300 is used to irradiate the surface of the semiconductor workpiece 20 during processing. Such irradiation further enhances the reaction kinetics. Although this irradiation technique is applicable to batch semiconductor workpiece processing, it is more easily and economically implemented in the illustrated single wafer processing environment where the workpiece is more easily completely exposed to the UV radiation. Megasonic or ultrasonic nozzles 40 may also be used.
  • As described, the ozone gas may be separately sprayed, or otherwise introduced as a gas into the process chamber, where it can diffuse through the liquid boundary layer on the workpiece. The fluid is preferably heated and sprayed or otherwise applied to the workpiece, without ozone injected into the fluid before the fluid is applied to the workpiece.
  • Alternatively, the ozone may be injected into the fluid, and then the ozone containing fluid applied to the workpiece. In this embodiment, if the fluid is heated, the heating preferably is performed before the ozone is injected into the fluid, to reduce the amount of ozone breakdown in the fluid during the fluid heating. Typically, due to the larger amounts of ozone desired to be injected into the fluid, and the low solubility of the ozone gas in the heated fluid, the fluid will contain some dissolved ozone, and may also contain ozone bubbles.
  • It is also possible to use aspects of both embodiments, that is to introduce ozone gas directly into the process chamber, and to also introduce ozone into the fluid before the fluid is delivered into the process chamber. Thus, various methods may be used for introducing ozone into the chamber.
  • The presently disclosed apparatus and methods may be used to treat workpieces beyond the semiconductor workpieces described above. For example, other workpieces, such as flat panel displays, hard disk media, CD glass, etc, may also have their surfaces treated using the foregoing apparatus and methods.
  • Although the preferred treatment liquid for the disclosed application is deionized water, other treatment liquids may also be used. For example, acidic and basic solutions may be used, depending on the particular surface to be treated and the material that is to be removed. Treatment liquids comprising sulfuric acid, hydrochloric acid, and ammonium hydroxide may be useful in various applications.
  • As described, one aspect of the process is the use of steam (Water vapor at temperatures exceeding 100 C) to enhance the strip rate of photoresist in the presence of an ozone environment. Preliminary testing shows that a process using hot water at 95 C produces a photoresist strip rate of around 3000-4000 angstroms per minute. Performing a similar process using steam at 120-130 C results in a strip rate of around 7000-8000 angstroms per minute. However, the resultant strip rate is not sustainable.
  • The high strip rate is achieved only when the steam condenses on the wafer surface. The wafer temperature rapidly approaches thermal equilibrium with the steam, and as equilibrium is achieved, there is no longer a thermal gradient to promote the formation of the condensate film. This results in the loss of the liquid boundary layer on the wafer surface. The boundary layer appears to be essential to promote the oxidation of the organic materials on the wafer surface. The absence of the liquid film results in a significant drop in the strip rate on photoresist.
  • Additionally, once the steam ceases to condense on the wafer surface, the reaction environment experiences the elimination of an energy source to drive the reaction kinetics. As steam condenses on the wafer surface, it must relinquish the heat of vaporization, which is approximately 540 calories per gram. This energy then becomes available to promote other reactions such as the oxidation of carbon compounds in the presence of ozone or oxygen free radicals.
  • In view of these experimental observations, a method for maintaining the temperature of a surface such as a semiconductor wafer surface, is provided to ensure that condensation from a steam environment continues indefinitely, thereby enabling the use of steam in applications such as photoresist strip in the presence of ozone. Thus the formation of the liquid boundary layer is assured, as well as the release of significant amounts of energy as the steam condenses.
  • To accomplish this, the wafer surface must be maintained at a temperature lower than that of the steam delivered to the process chamber. This may be achieved by attaching the wafer to a temperature-controlled surface or plate 350 which will act as a heat sink. This surface may then be temperature controlled either through the use of cooling coils, a solid-state heat exchanger, or other means.
  • In a preferred embodiment, a temperature-controlled stream of liquid is delivered to the back surface of a wafer, while steam and ozone are delivered to an enclosed process region and the steam is allowed to condense on the wafer surface. The wafer may be rotated to promote uniform distribution of the boundary layer, as well as helping to define the thickness of the boundary layer through centrifugal force. However, rotation is not an absolute requirement. The cooling stream must be at a temperature lower than the steam. If the cooling stream is water, a temperature of 75 or 85-95 C is preferably used, with steam temperatures in excess of 100 C.
  • In another embodiment, and one which is relatively easy to implement in a batch process, pulsed spray of cooling liquid is applied periodically to reduce the wafer temperature. Steam delivery may either be continuous or pulsed as well. The wafer may be in any orientation and additives such as hydrofluoric acid, ammonium hydroxide or some other chemical may be added to the system to promote the cleaning of the surface or the removal of specific classes of materials other than or in addition to organic materials.
  • This process enables the use of temperatures greater than 100 C to promote reaction kinetics in the water/ozone system for the purpose of removing organic or other materials from a surface. It helps ensure the continuous formation of a condensate film by preventing the surface from achieving thermal equilibrium with the steam. It also takes advantage of the liberated heat of vaporization in order to promote reaction rates and potentially allow the removal of more difficult materials which may require more energy than can be readily delivered in a hot water process.

Claims (21)

1. A method for processing a workpiece, comprising:
placing the workpiece into a chamber;
pressurizing the chamber to an above ambient pressure;
directly or indirectly heating the workpiece,
providing water vapor into the chamber, with the water vapor exceeding about 100 C; and
providing ozone gas into the chamber.
2. The method of claim 1 wherein the workpiece is coated with photoresist, and with the steam and ozone chemically reacting with and removing the photoresist.
3. The method of claim 1 wherein the water vapor forms a layer on the wafer surface, with the layer having a thickness of from about 1-100 microns.
4. The method of claim 1 wherein the water vapor forms a layer a few molecular layers thick.
5. The method of claim 2 wherein the photoresist is hydrolyzed.
6. The method of claim 1 wherein the water vapor is at about 120-130 C.
7. The method of claim 1 wherein the chamber is flat and disk-shaped.
8. The method of claim 1 wherein the ozone is provided at a concentration of at least 12% by weight.
9. The method of claim 1 wherein the ozone and water vapor are provided into the chamber for about 1-5 minutes.
10. The method of claim 1 further including heating the workpiece via contact heaters in the chamber.
11. The method of claim 1 with the ozone provided at a flow rate of at least 10 liters/minute, and at a concentration of at least 12% by weight.
12. A method for removing photoresist from a wafer, comprising:
placing the wafer into a chamber;
directly or indirectly heating the wafer with one or more heaters in or on the chamber,
providing steam in the chamber;
providing ozone gas into the chamber;
pressurizing the chamber;
contacting the wafer with steam and ozone, with the steam and ozone chemically reacting with the photoresist; and
rinsing the wafer.
13. The method of claim 12 with the steam forming a molecular layer on a surface of the workpiece.
14. The method of claim 13 with the workpiece surface having a temperature exceeding 100 C.
15. The method of claim 12 with the photoresist having carbon-carbon bonds hydrolyzed in the presence of the steam and ozone.
16. A method for cleaning a workpiece, comprising:
placing the workpiece into a chamber;
directly or indirectly heating the workpiece with one or more heaters,
providing heated water vapor in the chamber;
providing ozone gas into the chamber;
pressurizing the chamber to an above ambient pressure;
contacting the workpiece with heated and ozone, with the steam and ozone chemically reacting, in the presence of hydroxyl radicals, to clean the workpiece; and
rinsing the workpiece.
17. The method of claim 16 with the heated water vapor forming a layer on the workpiece, and with the ozone gas diffusing through the layer.
18. The method of claim 16 including providing the ozone gas at a concentration of at least 12% and a flow rate of at least 10 liters/minute.
19. A system for cleaning a workpiece, comprising:
a pressurizable chamber;
a heated water vapor supply associated with the chamber;
an ozone gas supply connecting into the chamber;
a workpiece support in the chamber for supporting the workpiece; and
a workpiece heater in the chamber on or in the wafer support for directly heating the wafer.
20. The system of claim 19 with the chamber is disk-shaped.
21. The system of claim 19 with the ozone gas supply providing at least 90 grams/hour of ozone.
US10/998,278 1997-05-09 2004-11-23 Process and apparatus for treating a workpiece Abandoned US20050072446A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/998,278 US20050072446A1 (en) 1997-05-09 2004-11-23 Process and apparatus for treating a workpiece

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US08/853,649 US6240933B1 (en) 1997-05-09 1997-05-09 Methods for cleaning semiconductor surfaces
US6131898A 1998-04-16 1998-04-16
PCT/US1999/008516 WO1999052654A1 (en) 1998-04-16 1999-04-16 Process and apparatus for treating a workpiece such as a semiconductor wafer
US09/621,028 US6869487B1 (en) 1997-05-09 2000-07-21 Process and apparatus for treating a workpiece such as a semiconductor wafer
US10/998,278 US20050072446A1 (en) 1997-05-09 2004-11-23 Process and apparatus for treating a workpiece

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/621,028 Continuation US6869487B1 (en) 1997-05-09 2000-07-21 Process and apparatus for treating a workpiece such as a semiconductor wafer

Publications (1)

Publication Number Publication Date
US20050072446A1 true US20050072446A1 (en) 2005-04-07

Family

ID=26740940

Family Applications (9)

Application Number Title Priority Date Filing Date
US09/536,251 Expired - Lifetime US6701941B1 (en) 1997-05-09 2000-03-27 Method for treating the surface of a workpiece
US09/677,925 Expired - Lifetime US6591845B1 (en) 1997-05-09 2000-10-03 Apparatus and method for processing the surface of a workpiece with ozone
US09/677,929 Expired - Lifetime US6273108B1 (en) 1997-05-09 2000-10-03 Apparatus and method for processing the surface of a workpiece with ozone
US09/677,934 Expired - Lifetime US6267125B1 (en) 1997-05-09 2000-10-03 Apparatus and method for processing the surface of a workpiece with ozone
US09/837,722 Expired - Lifetime US6601594B2 (en) 1997-05-09 2001-04-18 Apparatus and method for delivering a treatment liquid and ozone to treat the surface of a workpiece
US09/929,437 Expired - Lifetime US6582525B2 (en) 1997-05-09 2001-08-14 Methods for processing a workpiece using steam and ozone
US09/929,312 Expired - Fee Related US6497768B2 (en) 1997-05-09 2001-08-14 Process for treating a workpiece with hydrofluoric acid and ozone
US10/420,659 Expired - Lifetime US6817370B2 (en) 1997-05-09 2003-04-21 Method for processing the surface of a workpiece
US10/998,278 Abandoned US20050072446A1 (en) 1997-05-09 2004-11-23 Process and apparatus for treating a workpiece

Family Applications Before (8)

Application Number Title Priority Date Filing Date
US09/536,251 Expired - Lifetime US6701941B1 (en) 1997-05-09 2000-03-27 Method for treating the surface of a workpiece
US09/677,925 Expired - Lifetime US6591845B1 (en) 1997-05-09 2000-10-03 Apparatus and method for processing the surface of a workpiece with ozone
US09/677,929 Expired - Lifetime US6273108B1 (en) 1997-05-09 2000-10-03 Apparatus and method for processing the surface of a workpiece with ozone
US09/677,934 Expired - Lifetime US6267125B1 (en) 1997-05-09 2000-10-03 Apparatus and method for processing the surface of a workpiece with ozone
US09/837,722 Expired - Lifetime US6601594B2 (en) 1997-05-09 2001-04-18 Apparatus and method for delivering a treatment liquid and ozone to treat the surface of a workpiece
US09/929,437 Expired - Lifetime US6582525B2 (en) 1997-05-09 2001-08-14 Methods for processing a workpiece using steam and ozone
US09/929,312 Expired - Fee Related US6497768B2 (en) 1997-05-09 2001-08-14 Process for treating a workpiece with hydrofluoric acid and ozone
US10/420,659 Expired - Lifetime US6817370B2 (en) 1997-05-09 2003-04-21 Method for processing the surface of a workpiece

Country Status (1)

Country Link
US (9) US6701941B1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040154641A1 (en) * 2002-05-17 2004-08-12 P.C.T. Systems, Inc. Substrate processing apparatus and method
US20080308122A1 (en) * 2007-06-13 2008-12-18 Siltronic Ag Process For Cleaning, Drying and Hydrophilizing A Semiconductor Wafer
US20140216499A1 (en) * 2013-02-01 2014-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning composition and method for semiconductor device fabrication
US20170018423A1 (en) * 2015-07-15 2017-01-19 Oem Group, Inc. Apparatus and Method for Processing the Surface of a Workpiece Comprised of Sensitive Materials with an Ozone and Carbon Dioxide Treating Fluid
US9919939B2 (en) 2011-12-06 2018-03-20 Delta Faucet Company Ozone distribution in a faucet
US11458214B2 (en) 2015-12-21 2022-10-04 Delta Faucet Company Fluid delivery system including a disinfectant device

Families Citing this family (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6240933B1 (en) 1997-05-09 2001-06-05 Semitool, Inc. Methods for cleaning semiconductor surfaces
US7264680B2 (en) * 1997-05-09 2007-09-04 Semitool, Inc. Process and apparatus for treating a workpiece using ozone
US6701941B1 (en) * 1997-05-09 2004-03-09 Semitool, Inc. Method for treating the surface of a workpiece
US7163588B2 (en) * 1997-05-09 2007-01-16 Semitool, Inc. Processing a workpiece using water, a base, and ozone
US6869487B1 (en) 1997-05-09 2005-03-22 Semitool, Inc. Process and apparatus for treating a workpiece such as a semiconductor wafer
US20050215063A1 (en) * 1997-05-09 2005-09-29 Bergman Eric J System and methods for etching a silicon wafer using HF and ozone
US20050034745A1 (en) * 1997-05-09 2005-02-17 Semitool, Inc. Processing a workpiece with ozone and a halogenated additive
US7416611B2 (en) * 1997-05-09 2008-08-26 Semitool, Inc. Process and apparatus for treating a workpiece with gases
US20050194356A1 (en) * 1997-05-09 2005-09-08 Semitool, Inc. Removing photoresist from a workpiece using water and ozone and a photoresist penetrating additive
US20020157686A1 (en) * 1997-05-09 2002-10-31 Semitool, Inc. Process and apparatus for treating a workpiece such as a semiconductor wafer
US7378355B2 (en) * 1997-05-09 2008-05-27 Semitool, Inc. System and methods for polishing a wafer
US20040040583A1 (en) * 1997-05-09 2004-03-04 Semitool, Inc. Workpiece processing system
US7404863B2 (en) * 1997-05-09 2008-07-29 Semitool, Inc. Methods of thinning a silicon wafer using HF and ozone
JP2000147793A (en) * 1998-11-12 2000-05-26 Mitsubishi Electric Corp Method for removing photoresist film and apparatus therefor
US6715944B2 (en) * 1998-11-12 2004-04-06 Mitsubishi Denki Kabushiki Kaisha Apparatus for removing photoresist film
JP3869566B2 (en) * 1998-11-13 2007-01-17 三菱電機株式会社 Photoresist film removal method and apparatus
JP3395696B2 (en) 1999-03-15 2003-04-14 日本電気株式会社 Wafer processing apparatus and wafer processing method
US6406551B1 (en) 1999-05-14 2002-06-18 Fsi International, Inc. Method for treating a substrate with heat sensitive agents
US6790783B1 (en) * 1999-05-27 2004-09-14 Micron Technology, Inc. Semiconductor fabrication apparatus
US6613692B1 (en) * 1999-07-30 2003-09-02 Tokyo Electron Limited Substrate processing method and apparatus
US6630031B1 (en) * 1999-08-12 2003-10-07 Sipec Corporation Surface purification apparatus and surface purification method
US6408535B1 (en) * 1999-08-26 2002-06-25 Semitool, Inc. Ozone conversion in semiconductor manufacturing
US6758938B1 (en) * 1999-08-31 2004-07-06 Micron Technology, Inc. Delivery of dissolved ozone
TW466558B (en) * 1999-09-30 2001-12-01 Purex Co Ltd Method of removing contamination adhered to surfaces and apparatus used therefor
US6982006B1 (en) * 1999-10-19 2006-01-03 Boyers David G Method and apparatus for treating a substrate with an ozone-solvent solution
US6634368B1 (en) * 1999-11-12 2003-10-21 Texas Instruments Incorporated Application of ozonated DI water to scrubbers for resist strip and particle removal processes
AU1604501A (en) * 1999-11-15 2001-05-30 Lucent Technologies Inc. System and method for removal of material
JP2001312817A (en) * 2000-04-26 2001-11-09 Fuji Electric Co Ltd Method for cleaning glass substrate for magnetic recording medium, glass substrate for magnetic recording medium cleaned by the same and magnetic recording medium using the substrate
US6440871B1 (en) * 2000-08-16 2002-08-27 Micron Technology, Inc. Gas assisted method for applying resist stripper and gas-resist stripper combinations
US6686297B1 (en) 2000-08-17 2004-02-03 Georg Gogg Method of manufacturing a semiconductor device and apparatus to be used therefore
TW471010B (en) * 2000-09-28 2002-01-01 Applied Materials Inc Wafer cleaning equipment
WO2002027775A1 (en) * 2000-09-28 2002-04-04 Mitsubishi Denki Kabushiki Kaisha Method and apparatus for treating wafer
JP4014127B2 (en) * 2000-10-04 2007-11-28 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
US6861007B2 (en) * 2001-03-02 2005-03-01 Micron Technology, Inc. Method for removing organic material from a substrate and for oxidizing oxidizable material thereon
US6505634B2 (en) * 2001-03-23 2003-01-14 Will Be S & T Co., Ltd. Semiconductor wafer cleaning apparatus
US20020139400A1 (en) * 2001-03-27 2002-10-03 Semitool, Inc. Vertical process reactor
US6532974B2 (en) 2001-04-06 2003-03-18 Akrion Llc Process tank with pressurized mist generation
US20030051742A1 (en) * 2001-04-19 2003-03-20 Boyers David G. Method and apparatus for treating a substrate with an ozone-solvent solution II
JP2002353184A (en) * 2001-05-28 2002-12-06 Tokyo Electron Ltd Substrate processing method and substrate processor
US7841355B2 (en) * 2005-06-16 2010-11-30 Evrio, Inc. Modular system including shaft segments having configuration and breakdown attachments
US8397738B2 (en) * 2001-06-04 2013-03-19 Evrio, Inc. Modular system for concealment and shelter
US7802582B2 (en) * 2006-07-10 2010-09-28 Evrio, Inc. System for concealment and shelter with structure for rapid setup and tight skin
US6754980B2 (en) * 2001-06-12 2004-06-29 Goldfinger Technologies, Llc Megasonic cleaner and dryer
US6579810B2 (en) * 2001-06-21 2003-06-17 Macronix International Co. Ltd. Method of removing a photoresist layer on a semiconductor wafer
JP3950319B2 (en) * 2001-10-31 2007-08-01 Hoya株式会社 Method for cleaning glass substrate for information recording medium, method for producing glass substrate for information recording medium, and method for producing information recording medium
JP2003142445A (en) * 2001-11-08 2003-05-16 Mitsubishi Electric Corp Washing apparatus and method therefor
JP3717846B2 (en) * 2001-12-25 2005-11-16 Hoya株式会社 Method for manufacturing plastic lens having antireflection film
US20030127425A1 (en) * 2002-01-07 2003-07-10 Hirohiko Nishiki System and method for etching resin with an ozone wet etching process
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US20030139057A1 (en) * 2002-01-18 2003-07-24 Richard Novak Process and apparatus for removal of photoresist from semiconductor wafers
US20030136429A1 (en) * 2002-01-22 2003-07-24 Semitool, Inc. Vapor cleaning and liquid rinsing process vessel
JP2003249476A (en) * 2002-02-22 2003-09-05 Matsushita Electric Ind Co Ltd Wafer washing method
US20030192577A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
US20030192570A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
US20040159335A1 (en) * 2002-05-17 2004-08-19 P.C.T. Systems, Inc. Method and apparatus for removing organic layers
US7180035B2 (en) * 2002-06-25 2007-02-20 Tokyo Electron Limited Substrate processing device
ATE353475T1 (en) * 2002-10-11 2007-02-15 Soitec Silicon On Insulator METHOD AND DEVICE FOR PRODUCING AN ADHESIVE SUBSTRATE SURFACE
WO2004036620A2 (en) * 2002-10-14 2004-04-29 Sez Ag Method for generating oxide layers on semiconductor substrates
US7022193B2 (en) * 2002-10-29 2006-04-04 In Kwon Jeong Apparatus and method for treating surfaces of semiconductor wafers using ozone
US7051743B2 (en) * 2002-10-29 2006-05-30 Yong Bae Kim Apparatus and method for cleaning surfaces of semiconductor wafers using ozone
DE20320727U1 (en) * 2002-12-10 2005-04-07 Semitool Inc Workpiece processing system
US20040115957A1 (en) * 2002-12-17 2004-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for enhancing wet stripping of photoresist
FR2852869B1 (en) * 2003-03-26 2006-07-14 Soitec Silicon On Insulator SURFACE TREATMENT OF A SEMICONDUCTOR WAFER BEFORE COLLAGE
US7071077B2 (en) * 2003-03-26 2006-07-04 S.O.I.Tec Silicon On Insulator Technologies S.A. Method for preparing a bonding surface of a semiconductor layer of a wafer
WO2004112093A2 (en) * 2003-06-06 2004-12-23 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
US7279431B2 (en) * 2003-06-18 2007-10-09 Semitool, Inc. Vapor phase etching MEMS devices
US8316866B2 (en) * 2003-06-27 2012-11-27 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
US7737097B2 (en) * 2003-06-27 2010-06-15 Lam Research Corporation Method for removing contamination from a substrate and for making a cleaning solution
US7648584B2 (en) * 2003-06-27 2010-01-19 Lam Research Corporation Method and apparatus for removing contamination from substrate
US7799141B2 (en) * 2003-06-27 2010-09-21 Lam Research Corporation Method and system for using a two-phases substrate cleaning compound
US20040261823A1 (en) * 2003-06-27 2004-12-30 Lam Research Corporation Method and apparatus for removing a target layer from a substrate using reactive gases
US7913703B1 (en) 2003-06-27 2011-03-29 Lam Research Corporation Method and apparatus for uniformly applying a multi-phase cleaning solution to a substrate
US8522801B2 (en) * 2003-06-27 2013-09-03 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
TWI377453B (en) * 2003-07-31 2012-11-21 Akrion Technologies Inc Process sequence for photoresist stripping and/or cleaning of photomasks for integrated circuit manufacturing
KR100546386B1 (en) * 2003-10-10 2006-01-26 삼성전자주식회사 Method for manufacturing shallow trench isolation in semiconductor device preventable void
US7645292B2 (en) * 2003-10-27 2010-01-12 Boston Scientific Scimed, Inc. Vaso-occlusive devices with in-situ stiffening elements
US20050090856A1 (en) * 2003-10-27 2005-04-28 Scimed Life Systems, Inc. Vasco-occlusive devices with bioactive elements
US7022610B2 (en) * 2003-12-22 2006-04-04 Taiwan Semiconductor Manufacturing Company Wet cleaning method to eliminate copper corrosion
US8522799B2 (en) * 2005-12-30 2013-09-03 Lam Research Corporation Apparatus and system for cleaning a substrate
US8043441B2 (en) 2005-06-15 2011-10-25 Lam Research Corporation Method and apparatus for cleaning a substrate using non-Newtonian fluids
US7416370B2 (en) * 2005-06-15 2008-08-26 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
US8323420B2 (en) 2005-06-30 2012-12-04 Lam Research Corporation Method for removing material from semiconductor wafer and apparatus for performing the same
US7568490B2 (en) * 2003-12-23 2009-08-04 Lam Research Corporation Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids
US7862662B2 (en) * 2005-12-30 2011-01-04 Lam Research Corporation Method and material for cleaning a substrate
CN100377312C (en) * 2004-03-03 2008-03-26 鸿富锦精密工业(深圳)有限公司 Etching system and pure water adding device thereof
US7122484B2 (en) * 2004-04-28 2006-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Process for removing organic materials during formation of a metal interconnect
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
JP4753596B2 (en) * 2004-05-19 2011-08-24 大日本スクリーン製造株式会社 Substrate processing equipment
US20050274393A1 (en) * 2004-06-09 2005-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer clean process
US20050284375A1 (en) * 2004-06-24 2005-12-29 Kodjo Leeds Method and apparatus for processing a workpiece
US20050284568A1 (en) * 2004-06-28 2005-12-29 International Business Machines Corporation Removing unwanted film from wafer edge region with reactive gas jet
US7781152B2 (en) * 2004-07-28 2010-08-24 Headway Technologies, Inc. Ozone-assisted lithography process with image enhancement for CPP head manufacturing
US20060040111A1 (en) * 2004-08-20 2006-02-23 Dolechek Kert L Process chamber and system for thinning a semiconductor workpiece
US7354649B2 (en) 2004-08-20 2008-04-08 Semitool, Inc. Semiconductor workpiece
US20060046499A1 (en) * 2004-08-20 2006-03-02 Dolechek Kert L Apparatus for use in thinning a semiconductor workpiece
US7193295B2 (en) * 2004-08-20 2007-03-20 Semitool, Inc. Process and apparatus for thinning a semiconductor workpiece
US7288489B2 (en) * 2004-08-20 2007-10-30 Semitool, Inc. Process for thinning a semiconductor workpiece
US7806988B2 (en) * 2004-09-28 2010-10-05 Micron Technology, Inc. Method to address carbon incorporation in an interpoly oxide
US20080072926A1 (en) * 2004-09-30 2008-03-27 Shin-Etsu Handotai Co., Ltd. Method for Cleaning Soi Wafer
US7604780B2 (en) * 2004-11-11 2009-10-20 Agrimond Usa Corporation Ozone disinfection apparatus
KR20060072498A (en) * 2004-12-23 2006-06-28 동부일렉트로닉스 주식회사 Semiconductor device and fabrication method thereof
US20060254611A1 (en) * 2005-04-12 2006-11-16 Harald Koster Acid-free cleaning process for substrates, in particular masks and mask blanks
JP2006352075A (en) * 2005-05-17 2006-12-28 Sumitomo Electric Ind Ltd Cleaning method and manufacturing method for nitride compound semiconductor, and compound semiconductor, and board
US20110018105A1 (en) * 2005-05-17 2011-01-27 Sumitomo Electric Industries, Ltd. Nitride-based compound semiconductor device, compound semiconductor device, and method of producing the devices
US20060263281A1 (en) * 2005-05-20 2006-11-23 Dial Discoveries Llc Systems and methods for treatment of various environments by application of ozone and steam
US20070012335A1 (en) * 2005-07-18 2007-01-18 Chang Hsiao C Photomask cleaning using vacuum ultraviolet (VUV) light cleaning
WO2007038263A2 (en) * 2005-09-23 2007-04-05 Applied Materials, Inc. Ozonation for elimination of bacteria for wet processing systems
JP4977999B2 (en) * 2005-11-21 2012-07-18 株式会社Sumco Manufacturing method of bonded substrate and bonded substrate manufactured by the method
SG154438A1 (en) * 2005-12-30 2009-08-28 Lam Res Corp Cleaning compound and method and system for using the cleaning compound
US8480810B2 (en) * 2005-12-30 2013-07-09 Lam Research Corporation Method and apparatus for particle removal
CN101389415A (en) * 2006-02-22 2009-03-18 赛迈有限公司 Single side workpiece processing
US20070261718A1 (en) * 2006-05-10 2007-11-15 Rubinder Randhawa Method and apparatus for ozone-enhanced cleaning of flat objects with pulsed liquid jet
US20080029123A1 (en) * 2006-08-02 2008-02-07 Brian Aegerter Sonic and chemical wafer processor
EP2081604A4 (en) * 2006-10-25 2010-12-15 Agrimond Usa Corp Ozone disinfection apparatus
US20080148595A1 (en) * 2006-12-20 2008-06-26 Lam Research Corporation Method and apparatus for drying substrates using a surface tensions reducing gas
WO2008079851A1 (en) * 2006-12-20 2008-07-03 Hill-Rom Services, Inc. Frame for a patient-support apparatus
KR100925029B1 (en) * 2006-12-27 2009-11-03 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
US7897213B2 (en) * 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
US8741066B2 (en) 2007-02-16 2014-06-03 Akrion Systems, Llc Method for cleaning substrates utilizing surface passivation and/or oxide layer growth to protect from pitting
US8388762B2 (en) * 2007-05-02 2013-03-05 Lam Research Corporation Substrate cleaning technique employing multi-phase solution
US7819984B2 (en) 2007-05-18 2010-10-26 Fsi International, Inc. Process for treatment of substrates with water vapor or steam
KR100899426B1 (en) * 2007-09-14 2009-05-27 삼성모바일디스플레이주식회사 Fabrication method of Organic light emitting diode display
DE102007044787A1 (en) 2007-09-19 2009-04-02 Siltronic Ag Method for cleaning a semiconductor wafer
JP2009081366A (en) * 2007-09-27 2009-04-16 Elpida Memory Inc Batch processing apparatus
US20090087993A1 (en) * 2007-09-28 2009-04-02 Steven Maxwell Methods and apparatus for cost-effectively increasing feature density using a mask shrinking process with double patterning
US20090085169A1 (en) * 2007-09-28 2009-04-02 Willy Rachmady Method of achieving atomically smooth sidewalls in deep trenches, and high aspect ratio silicon structure containing atomically smooth sidewalls
DE102007058503B4 (en) 2007-12-05 2011-08-25 Siltronic AG, 81737 Process for the wet-chemical treatment of a semiconductor wafer
US8226775B2 (en) 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
US20100024847A1 (en) * 2008-08-01 2010-02-04 Breese Ronald G Semiconductor wafer cleaning with dilute acids
US20100084261A1 (en) * 2008-10-07 2010-04-08 China Institute Of Technology Method for fabricating polymeric wavelength filter
FR2951372B1 (en) * 2009-10-20 2011-12-09 Hill Rom Sas HOSPITAL OR ACCOMMODATION BED WITH ADJUSTABLE SLEEPING PLAN
US20110130009A1 (en) * 2009-11-30 2011-06-02 Lam Research Ag Method and apparatus for surface treatment using a mixture of acid and oxidizing gas
JP5642432B2 (en) * 2010-06-09 2014-12-17 トヨタ自動車株式会社 Electroless plating treatment material manufacturing method and ozone gas treatment device
TW201206857A (en) * 2010-06-17 2012-02-16 Imec Method for passivating a silicon surface
US8709165B2 (en) 2010-12-03 2014-04-29 Lam Research Ag Method and apparatus for surface treatment using inorganic acid and ozone
US8940103B2 (en) 2012-03-06 2015-01-27 Tokyo Electron Limited Sequential stage mixing for single substrate strip processing
US9075318B2 (en) 2012-03-07 2015-07-07 Tokyo Electron Limited Sequential stage mixing for a resist batch strip process
US8871108B2 (en) 2013-01-22 2014-10-28 Tel Fsi, Inc. Process for removing carbon material from substrates
WO2014117864A1 (en) * 2013-02-01 2014-08-07 Ruf Gmbh & Co Kg Feeding device for feeding lumpy material into a briquetting press
WO2015061504A1 (en) * 2013-10-22 2015-04-30 Bechtel Hydrocarbon Technology Solutions, Inc. On-line pigging and spalling coker furnace outlets
US20150354403A1 (en) * 2014-06-05 2015-12-10 General Electric Company Off-line wash systems and methods for a gas turbine engine
JP6593920B2 (en) * 2015-08-18 2019-10-23 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
US20180166300A1 (en) * 2016-12-13 2018-06-14 Lam Research Ag Point-of-use mixing systems and methods for controlling temperatures of liquids dispensed at a substrate
JP7013710B2 (en) * 2017-08-07 2022-02-01 住友電気工業株式会社 Manufacturing method of nitride semiconductor transistor
US10002771B1 (en) 2017-10-10 2018-06-19 Applied Materials, Inc. Methods for chemical mechanical polishing (CMP) processing with ozone
CN109107974B (en) * 2018-07-20 2020-08-11 横店集团东磁股份有限公司 Cleaning method of quartz device for solar cell preparation
US11673830B2 (en) * 2020-11-11 2023-06-13 Applied Materials, Inc. Glass carrier cleaning using ozone
US11728185B2 (en) * 2021-01-05 2023-08-15 Applied Materials, Inc. Steam-assisted single substrate cleaning process and apparatus

Citations (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4064885A (en) * 1976-10-26 1977-12-27 Branson Ultrasonics Corporation Apparatus for cleaning workpieces by ultrasonic energy
US4186032A (en) * 1976-09-23 1980-01-29 Rca Corp. Method for cleaning and drying semiconductors
US4633804A (en) * 1984-03-06 1987-01-06 Fujitsu Limited Spinner and method for processing a substrate
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4778532A (en) * 1985-06-24 1988-10-18 Cfm Technologies Limited Partnership Process and apparatus for treating wafers with process fluids
US4817652A (en) * 1987-03-26 1989-04-04 Regents Of The University Of Minnesota System for surface and fluid cleaning
US4899767A (en) * 1984-05-21 1990-02-13 Cfm Technologies, Inc. Method and system for fluid treatment of semiconductor wafers
US5032218A (en) * 1988-08-12 1991-07-16 Alameda Instruments, Inc. Sulfuric acid reprocessor
US5039349A (en) * 1990-05-18 1991-08-13 Veriflo Corporation Method and apparatus for cleaning surfaces to absolute or near-absolute cleanliness
US5063609A (en) * 1989-10-11 1991-11-05 Applied Materials, Inc. Steam generator
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US5147499A (en) * 1991-07-24 1992-09-15 Applied Materials, Inc. Process for removal of residues remaining after etching polysilicon layer in formation of integrated circuit structure
US5160378A (en) * 1989-09-25 1992-11-03 Labsystems Oy Washing device
US5232870A (en) * 1990-09-10 1993-08-03 Shin-Etsu Handotai Co., Ltd. Method for production of bonded wafer
US5246526A (en) * 1989-06-29 1993-09-21 Hitachi, Ltd. Surface treatment apparatus
US5326406A (en) * 1991-07-31 1994-07-05 Kawasaki Steel Corporation Method of cleaning semiconductor substrate and apparatus for carrying out the same
US5366757A (en) * 1992-10-30 1994-11-22 International Business Machines Corporation In situ resist control during spray and spin in vapor
US5372651A (en) * 1991-11-14 1994-12-13 Nikon Corporation Method for cleaning a substrate
US5423944A (en) * 1992-06-25 1995-06-13 Texas Instruments Incorporated Method for vapor phase etching of silicon
US5447640A (en) * 1993-06-28 1995-09-05 Permelec Electrode Ltd. Method and apparatus for sterilization of and treatment with ozonized water
US5518542A (en) * 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
US5571367A (en) * 1994-03-30 1996-11-05 Kabushiki Kaisha Toshiba Apparatus for subjecting a semiconductor substrate to a washing process
US5626769A (en) * 1993-08-06 1997-05-06 Permelec Electrode Ltd. Ozone water treatment method and apparatus
US5701485A (en) * 1994-01-18 1997-12-23 Sybase, Inc. Object oriented dispatch and supercall process and arrangement
US5730806A (en) * 1993-08-30 1998-03-24 The United States Of America As Represented By The Administrator Of The National Aeronautics & Space Administration Gas-liquid supersonic cleaning and cleaning verification spray system
US5762755A (en) * 1991-05-21 1998-06-09 Genus, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5810840A (en) * 1997-01-14 1998-09-22 Lindsay; Richard G. Vacuum extractor
US5832177A (en) * 1990-10-05 1998-11-03 Fujitsu Limited Method for controlling apparatus for supplying steam for ashing process
US5845662A (en) * 1995-05-02 1998-12-08 Sumnitsch; Franz Device for treatment of wafer-shaped articles, especially silicon wafers
US5868866A (en) * 1995-03-03 1999-02-09 Ebara Corporation Method of and apparatus for cleaning workpiece
US5911836A (en) * 1996-02-05 1999-06-15 Mitsubishi Gas Chemical Company, Inc. Method of producing semiconductor device and rinse for cleaning semiconductor device
US5916366A (en) * 1996-10-08 1999-06-29 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating apparatus
US5922624A (en) * 1993-05-13 1999-07-13 Imec Vzw Method for semiconductor processing using mixtures of HF and carboxylic acid
US5927306A (en) * 1996-11-25 1999-07-27 Dainippon Screen Mfg. Co., Ltd. Ultrasonic vibrator, ultrasonic cleaning nozzle, ultrasonic cleaning device, substrate cleaning device, substrate cleaning treatment system and ultrasonic cleaning nozzle manufacturing method
US5950643A (en) * 1995-09-06 1999-09-14 Miyazaki; Takeshiro Wafer processing system
US5964952A (en) * 1994-10-04 1999-10-12 Kunze-Concewitz; Horst Method of cleaning surfaces with water and steam
US5975098A (en) * 1995-12-21 1999-11-02 Dainippon Screen Mfg. Co., Ltd. Apparatus for and method of cleaning substrate
US5990060A (en) * 1997-02-25 1999-11-23 Tadahiro Ohmi Cleaning liquid and cleaning method
US6003527A (en) * 1996-10-30 1999-12-21 Pre-Tech Co., Ltd. Cleaning apparatus and a cleaning method
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US6085764A (en) * 1997-07-22 2000-07-11 Tdk Corporation Cleaning apparatus and method
US6146469A (en) * 1998-02-25 2000-11-14 Gamma Precision Technology Apparatus and method for cleaning semiconductor wafers
US6162734A (en) * 1995-10-12 2000-12-19 Semitool, Inc. Semiconductor processing using vapor mixtures
US6199567B1 (en) * 1996-06-03 2001-03-13 Mitsubishi Denki Kabushiki Kaisha Method and apparatus for manufacturing semiconductor device
US6202658B1 (en) * 1998-11-11 2001-03-20 Applied Materials, Inc. Method and apparatus for cleaning the edge of a thin disc
US6235112B1 (en) * 1998-01-26 2001-05-22 Asm Japan K.K. Apparatus and method for forming thin film
US6240933B1 (en) * 1997-05-09 2001-06-05 Semitool, Inc. Methods for cleaning semiconductor surfaces
US6249933B1 (en) * 1999-08-26 2001-06-26 Shop Vac Corporation Pump having sealless shaft
US6267125B1 (en) * 1997-05-09 2001-07-31 Semitool, Inc. Apparatus and method for processing the surface of a workpiece with ozone
US6295999B1 (en) * 1996-09-30 2001-10-02 Verteq, Inc. Wafer cleaning method
US6325081B1 (en) * 1996-07-03 2001-12-04 Kabushiki Kaisha Ultraclean Technology Research Institute Washing apparatus and washing method
US20020066464A1 (en) * 1997-05-09 2002-06-06 Semitool, Inc. Processing a workpiece using ozone and sonic energy
US6406551B1 (en) * 1999-05-14 2002-06-18 Fsi International, Inc. Method for treating a substrate with heat sensitive agents
US6423146B1 (en) * 1996-08-12 2002-07-23 Kabushiki Kaisha Toshiba Method for cleaning a semiconductor substrate
US6431184B1 (en) * 1997-08-05 2002-08-13 Tokyo Electron Limited Apparatus and method for washing substrate
US6455717B1 (en) * 1998-09-01 2002-09-24 Micron Technology, Inc. Metal complexes with chelating O-and/or N-donor ligands
US6543080B1 (en) * 1999-08-13 2003-04-08 Ebara Corporation Apparatus and method for cleaning semiconductor substrate
US6626189B2 (en) * 2001-04-06 2003-09-30 Akrion, Llc Method of processing substrates using pressurized mist generation
US6743301B2 (en) * 1999-12-24 2004-06-01 mFSI Ltd. Substrate treatment process and apparatus
US6758938B1 (en) * 1999-08-31 2004-07-06 Micron Technology, Inc. Delivery of dissolved ozone
US20040154641A1 (en) * 2002-05-17 2004-08-12 P.C.T. Systems, Inc. Substrate processing apparatus and method
US6806205B2 (en) * 2000-11-13 2004-10-19 Electronics And Telecommunications Research Institute Stiction-free microstructure releasing method for fabricating MEMS device
US6869487B1 (en) * 1997-05-09 2005-03-22 Semitool, Inc. Process and apparatus for treating a workpiece such as a semiconductor wafer

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3226406A (en) * 1963-01-03 1965-12-28 Thomas K Miwa Wax ester substitute for jojoba oil from the seed of limnanthes douglash
JPS5212063A (en) 1975-07-15 1977-01-29 Matsushita Electric Works Ltd Hair curling apparatus
JPS5275181A (en) * 1975-12-13 1977-06-23 Sony Corp Formation of oxide film
FR2341830A1 (en) * 1976-02-19 1977-09-16 Air Ind INSTALLATION FOR THE CONTINUOUS HEAT TREATMENT OF A RUNNING PRODUCT IN AN ENCLOSURE
JPS5434751A (en) 1977-08-24 1979-03-14 Hitachi Ltd Washing method for silicon wafer
JPS614232A (en) 1984-06-19 1986-01-10 Nec Corp Cleaning method of semiconductor substrate
US4695327A (en) 1985-06-13 1987-09-22 Purusar Corporation Surface treatment to remove impurities in microrecesses
JPS62117330A (en) 1985-11-18 1987-05-28 Sanyo Electric Co Ltd Washing method for semiconductor wafer
JPS648630A (en) 1986-09-22 1989-01-12 Tokyo Electron Ltd Cleaning method
JPS63110732A (en) 1986-10-29 1988-05-16 Nec Corp Washing method for semiconductor substrate
JPS6442129A (en) * 1987-08-10 1989-02-14 Hitachi Ltd Cleaning and removal of organic substance
JPH01179327A (en) 1988-01-06 1989-07-17 Hitachi Ltd Ashing
JPH01189921A (en) 1988-01-26 1989-07-31 Mitsubishi Electric Corp Resist removing apparatus
JPH0724265B2 (en) * 1988-04-13 1995-03-15 日本電気株式会社 Semiconductor substrate cleaning equipment
US5235995A (en) 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US5181985A (en) 1988-06-01 1993-01-26 Wacker-Chemitronic Gesellschaft Fur Elektronik-Grundstoffe Mbh Process for the wet-chemical surface treatment of semiconductor wafers
DE3818714A1 (en) 1988-06-01 1989-12-14 Wacker Chemitronic METHOD FOR WET-CHEMICAL SURFACE TREATMENT OF SEMICONDUCTOR DISC
DE68928010T2 (en) 1988-07-08 1997-11-20 Rhone Poulenc Chimie Cleaning and drying of electronic components
JPH06103685B2 (en) 1989-05-06 1994-12-14 大日本スクリーン製造株式会社 Substrate cleaning method and apparatus
JPH0341729A (en) 1989-07-07 1991-02-22 Tokyo Electron Ltd Substrate cleaning
US4974530A (en) 1989-11-16 1990-12-04 Energy And Environmental Research Apparatus and methods for incineration of toxic organic compounds
US5232511A (en) 1990-05-15 1993-08-03 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous mixed acid vapors
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
JPH04125927A (en) 1990-09-18 1992-04-27 Fujitsu Ltd Method of washing substrate
US5378317A (en) 1990-10-09 1995-01-03 Chlorine Engineers Corp., Ltd. Method for removing organic film
JP2583152B2 (en) 1990-11-06 1997-02-19 大日本スクリーン製造株式会社 Substrate rotating surface treatment method
JP2820792B2 (en) * 1990-11-29 1998-11-05 株式会社神戸製鋼所 Method for producing dimethylnaphthalene
US5261966A (en) 1991-01-28 1993-11-16 Kabushiki Kaisha Toshiba Method of cleaning semiconductor wafers using mixer containing a bundle of gas permeable hollow yarns
JPH04298038A (en) * 1991-03-27 1992-10-21 Hitachi Cable Ltd Method for cleaning wafer
JPH04302144A (en) * 1991-03-29 1992-10-26 Hitachi Ltd Cleaning method
JPH04302145A (en) 1991-03-29 1992-10-26 Hitachi Ltd Cleaning method
US5120370A (en) 1991-04-01 1992-06-09 Shinichi Mori Cleaning process
JP2654874B2 (en) 1991-06-19 1997-09-17 日立造船株式会社 Substrate cleaning method
JPH051993A (en) * 1991-06-26 1993-01-08 Ishikawajima Harima Heavy Ind Co Ltd Orientation measurement device for striplike fabric material
JPH0513398A (en) 1991-07-05 1993-01-22 Hitachi Zosen Corp Cleaning method for substrate
JP3069400B2 (en) * 1991-07-23 2000-07-24 ナカ工業株式会社 Structure of floor panel support leg attachment part
JPH05109686A (en) 1991-10-14 1993-04-30 Nippon Steel Corp Method and apparatus for cleaning silicon wafer
US5244000A (en) 1991-11-13 1993-09-14 Hughes Aircraft Company Method and system for removing contaminants
JPH05152203A (en) 1991-11-29 1993-06-18 Chlorine Eng Corp Ltd Method and device for treating substrate
TW263531B (en) 1992-03-11 1995-11-21 Mitsubishi Gas Chemical Co
JPH05259139A (en) 1992-03-16 1993-10-08 Hitachi Ltd Cleaning apparatus
JP2956347B2 (en) 1992-03-31 1999-10-04 日本電気株式会社 Semiconductor substrate cleaning method
US5234540A (en) 1992-04-30 1993-08-10 Submicron Systems, Inc. Process for etching oxide films in a sealed photochemical reactor
US5308745A (en) 1992-11-06 1994-05-03 J. T. Baker Inc. Alkaline-containing photoresist stripping compositions producing reduced metal corrosion with cross-linked or hardened resist resins
KR940012061A (en) * 1992-11-27 1994-06-22 가나이 쯔또무 Organic matter removal method and organic matter removal apparatus for using the method
JPH06204130A (en) 1992-12-28 1994-07-22 Mitsubishi Electric Corp Forming method of pattern
SG130022A1 (en) 1993-03-25 2007-03-20 Tokyo Electron Ltd Method of forming coating film and apparatus therefor
JP3347814B2 (en) 1993-05-17 2002-11-20 大日本スクリーン製造株式会社 Substrate cleaning / drying processing method and processing apparatus
US5464480A (en) 1993-07-16 1995-11-07 Legacy Systems, Inc. Process and apparatus for the treatment of semiconductor wafers in a fluid
US5911837A (en) 1993-07-16 1999-06-15 Legacy Systems, Inc. Process for treatment of semiconductor wafers in a fluid
JPH07159980A (en) 1993-12-06 1995-06-23 Nikon Corp Substrate washing device
GB9402486D0 (en) * 1994-02-09 1994-03-30 Electrotech Ltd Forming a layer
JP2743823B2 (en) 1994-03-25 1998-04-22 日本電気株式会社 Semiconductor substrate wet treatment method
JP3320549B2 (en) * 1994-04-26 2002-09-03 岩手東芝エレクトロニクス株式会社 Film removing method and film removing agent
JPH088222A (en) 1994-06-22 1996-01-12 Sony Corp Spin processor
US5500744A (en) * 1994-08-05 1996-03-19 Miles Inc. Method and appparatus for image scaling using parallel incremental interpolation
DE4432738A1 (en) 1994-09-14 1996-03-21 Siemens Ag Process for the wet chemical removal of contaminants on semiconductor crystal surfaces
US5647386A (en) 1994-10-04 1997-07-15 Entropic Systems, Inc. Automatic precision cleaning apparatus with continuous on-line monitoring and feedback
JP3923097B2 (en) 1995-03-06 2007-05-30 忠弘 大見 Cleaning device
US5714203A (en) 1995-08-23 1998-02-03 Ictop Entwicklungs Gmbh Procedure for the drying of silicon
JPH0969509A (en) * 1995-09-01 1997-03-11 Matsushita Electron Corp Cleaning/etching/drying system for semiconductor wafer and using method thereof
EP0782177A3 (en) 1995-12-28 1997-07-30 Texas Instruments Incorporated Improvements in or relating to semiconductors
US5749975A (en) * 1995-12-28 1998-05-12 Micron Technology, Inc. Process for dry cleaning wafer surfaces using a surface diffusion layer
US5803982A (en) 1996-10-15 1998-09-08 Ez Environmental Solutions Corporation Pressure washing apparatus with ozone generator
US6551409B1 (en) * 1997-02-14 2003-04-22 Interuniversitair Microelektronica Centrum, Vzw Method for removing organic contaminants from a semiconductor surface
US5968374A (en) * 1997-03-20 1999-10-19 Lam Research Corporation Methods and apparatus for controlled partial ashing in a variable-gap plasma processing chamber
US5971368A (en) 1997-10-29 1999-10-26 Fsi International, Inc. System to increase the quantity of dissolved gas in a liquid and to maintain the increased quantity of dissolved gas in the liquid until utilized
US5858107A (en) 1998-01-07 1999-01-12 Raytheon Company Liquid carbon dioxide cleaning using jet edge sonic whistles at low temperature
ATE259681T1 (en) 1998-04-16 2004-03-15 Semitool Inc METHOD AND APPARATUS FOR TREATING A WORKPIECE, SUCH AS A SEMICONDUCTOR WAFER
JP2001176833A (en) * 1999-12-14 2001-06-29 Tokyo Electron Ltd Substrate processor

Patent Citations (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4186032A (en) * 1976-09-23 1980-01-29 Rca Corp. Method for cleaning and drying semiconductors
US4064885A (en) * 1976-10-26 1977-12-27 Branson Ultrasonics Corporation Apparatus for cleaning workpieces by ultrasonic energy
US4633804A (en) * 1984-03-06 1987-01-06 Fujitsu Limited Spinner and method for processing a substrate
US4899767A (en) * 1984-05-21 1990-02-13 Cfm Technologies, Inc. Method and system for fluid treatment of semiconductor wafers
US4917123A (en) * 1984-05-21 1990-04-17 Cfm Technologies Limited Partnership Apparatus for treating wafers with process fluids
US4778532A (en) * 1985-06-24 1988-10-18 Cfm Technologies Limited Partnership Process and apparatus for treating wafers with process fluids
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4817652A (en) * 1987-03-26 1989-04-04 Regents Of The University Of Minnesota System for surface and fluid cleaning
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US5032218A (en) * 1988-08-12 1991-07-16 Alameda Instruments, Inc. Sulfuric acid reprocessor
US5246526A (en) * 1989-06-29 1993-09-21 Hitachi, Ltd. Surface treatment apparatus
US5160378A (en) * 1989-09-25 1992-11-03 Labsystems Oy Washing device
US5063609A (en) * 1989-10-11 1991-11-05 Applied Materials, Inc. Steam generator
US5039349A (en) * 1990-05-18 1991-08-13 Veriflo Corporation Method and apparatus for cleaning surfaces to absolute or near-absolute cleanliness
US5232870A (en) * 1990-09-10 1993-08-03 Shin-Etsu Handotai Co., Ltd. Method for production of bonded wafer
US5832177A (en) * 1990-10-05 1998-11-03 Fujitsu Limited Method for controlling apparatus for supplying steam for ashing process
US5762755A (en) * 1991-05-21 1998-06-09 Genus, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5147499A (en) * 1991-07-24 1992-09-15 Applied Materials, Inc. Process for removal of residues remaining after etching polysilicon layer in formation of integrated circuit structure
US5326406A (en) * 1991-07-31 1994-07-05 Kawasaki Steel Corporation Method of cleaning semiconductor substrate and apparatus for carrying out the same
US5372651A (en) * 1991-11-14 1994-12-13 Nikon Corporation Method for cleaning a substrate
US5423944A (en) * 1992-06-25 1995-06-13 Texas Instruments Incorporated Method for vapor phase etching of silicon
US5366757A (en) * 1992-10-30 1994-11-22 International Business Machines Corporation In situ resist control during spray and spin in vapor
US5922624A (en) * 1993-05-13 1999-07-13 Imec Vzw Method for semiconductor processing using mixtures of HF and carboxylic acid
US5447640A (en) * 1993-06-28 1995-09-05 Permelec Electrode Ltd. Method and apparatus for sterilization of and treatment with ozonized water
US5626769A (en) * 1993-08-06 1997-05-06 Permelec Electrode Ltd. Ozone water treatment method and apparatus
US5730806A (en) * 1993-08-30 1998-03-24 The United States Of America As Represented By The Administrator Of The National Aeronautics & Space Administration Gas-liquid supersonic cleaning and cleaning verification spray system
US5964954A (en) * 1993-11-05 1999-10-12 Tokyo Electron Limited Double-sided substrate cleaning apparatus and cleaning method using the same
US5518542A (en) * 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
US5701485A (en) * 1994-01-18 1997-12-23 Sybase, Inc. Object oriented dispatch and supercall process and arrangement
US5571367A (en) * 1994-03-30 1996-11-05 Kabushiki Kaisha Toshiba Apparatus for subjecting a semiconductor substrate to a washing process
US5964952A (en) * 1994-10-04 1999-10-12 Kunze-Concewitz; Horst Method of cleaning surfaces with water and steam
US5868866A (en) * 1995-03-03 1999-02-09 Ebara Corporation Method of and apparatus for cleaning workpiece
US5845662A (en) * 1995-05-02 1998-12-08 Sumnitsch; Franz Device for treatment of wafer-shaped articles, especially silicon wafers
US5950643A (en) * 1995-09-06 1999-09-14 Miyazaki; Takeshiro Wafer processing system
US6162734A (en) * 1995-10-12 2000-12-19 Semitool, Inc. Semiconductor processing using vapor mixtures
US5975098A (en) * 1995-12-21 1999-11-02 Dainippon Screen Mfg. Co., Ltd. Apparatus for and method of cleaning substrate
US5911836A (en) * 1996-02-05 1999-06-15 Mitsubishi Gas Chemical Company, Inc. Method of producing semiconductor device and rinse for cleaning semiconductor device
US6199567B1 (en) * 1996-06-03 2001-03-13 Mitsubishi Denki Kabushiki Kaisha Method and apparatus for manufacturing semiconductor device
US6325081B1 (en) * 1996-07-03 2001-12-04 Kabushiki Kaisha Ultraclean Technology Research Institute Washing apparatus and washing method
US6423146B1 (en) * 1996-08-12 2002-07-23 Kabushiki Kaisha Toshiba Method for cleaning a semiconductor substrate
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US6295999B1 (en) * 1996-09-30 2001-10-02 Verteq, Inc. Wafer cleaning method
US5916366A (en) * 1996-10-08 1999-06-29 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating apparatus
US6003527A (en) * 1996-10-30 1999-12-21 Pre-Tech Co., Ltd. Cleaning apparatus and a cleaning method
US5927306A (en) * 1996-11-25 1999-07-27 Dainippon Screen Mfg. Co., Ltd. Ultrasonic vibrator, ultrasonic cleaning nozzle, ultrasonic cleaning device, substrate cleaning device, substrate cleaning treatment system and ultrasonic cleaning nozzle manufacturing method
US5810840A (en) * 1997-01-14 1998-09-22 Lindsay; Richard G. Vacuum extractor
US5990060A (en) * 1997-02-25 1999-11-23 Tadahiro Ohmi Cleaning liquid and cleaning method
US6817370B2 (en) * 1997-05-09 2004-11-16 Semitool, Inc. Method for processing the surface of a workpiece
US6240933B1 (en) * 1997-05-09 2001-06-05 Semitool, Inc. Methods for cleaning semiconductor surfaces
US6582525B2 (en) * 1997-05-09 2003-06-24 Eric J. Bergman Methods for processing a workpiece using steam and ozone
US6267125B1 (en) * 1997-05-09 2001-07-31 Semitool, Inc. Apparatus and method for processing the surface of a workpiece with ozone
US6273108B1 (en) * 1997-05-09 2001-08-14 Semitool, Inc. Apparatus and method for processing the surface of a workpiece with ozone
US6843857B2 (en) * 1997-05-09 2005-01-18 Semitool, Inc. Methods for cleaning semiconductor surfaces
US6869487B1 (en) * 1997-05-09 2005-03-22 Semitool, Inc. Process and apparatus for treating a workpiece such as a semiconductor wafer
US20020066464A1 (en) * 1997-05-09 2002-06-06 Semitool, Inc. Processing a workpiece using ozone and sonic energy
US6085764A (en) * 1997-07-22 2000-07-11 Tdk Corporation Cleaning apparatus and method
US6431184B1 (en) * 1997-08-05 2002-08-13 Tokyo Electron Limited Apparatus and method for washing substrate
US6235112B1 (en) * 1998-01-26 2001-05-22 Asm Japan K.K. Apparatus and method for forming thin film
US6146469A (en) * 1998-02-25 2000-11-14 Gamma Precision Technology Apparatus and method for cleaning semiconductor wafers
US6455717B1 (en) * 1998-09-01 2002-09-24 Micron Technology, Inc. Metal complexes with chelating O-and/or N-donor ligands
US6202658B1 (en) * 1998-11-11 2001-03-20 Applied Materials, Inc. Method and apparatus for cleaning the edge of a thin disc
US6406551B1 (en) * 1999-05-14 2002-06-18 Fsi International, Inc. Method for treating a substrate with heat sensitive agents
US6543080B1 (en) * 1999-08-13 2003-04-08 Ebara Corporation Apparatus and method for cleaning semiconductor substrate
US6249933B1 (en) * 1999-08-26 2001-06-26 Shop Vac Corporation Pump having sealless shaft
US6758938B1 (en) * 1999-08-31 2004-07-06 Micron Technology, Inc. Delivery of dissolved ozone
US6743301B2 (en) * 1999-12-24 2004-06-01 mFSI Ltd. Substrate treatment process and apparatus
US6806205B2 (en) * 2000-11-13 2004-10-19 Electronics And Telecommunications Research Institute Stiction-free microstructure releasing method for fabricating MEMS device
US6626189B2 (en) * 2001-04-06 2003-09-30 Akrion, Llc Method of processing substrates using pressurized mist generation
US20040154641A1 (en) * 2002-05-17 2004-08-12 P.C.T. Systems, Inc. Substrate processing apparatus and method

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040154641A1 (en) * 2002-05-17 2004-08-12 P.C.T. Systems, Inc. Substrate processing apparatus and method
US20080308122A1 (en) * 2007-06-13 2008-12-18 Siltronic Ag Process For Cleaning, Drying and Hydrophilizing A Semiconductor Wafer
US9230794B2 (en) * 2007-06-13 2016-01-05 Siltronic Ag Process for cleaning, drying and hydrophilizing a semiconductor wafer
US9919939B2 (en) 2011-12-06 2018-03-20 Delta Faucet Company Ozone distribution in a faucet
US10947138B2 (en) 2011-12-06 2021-03-16 Delta Faucet Company Ozone distribution in a faucet
US20140216499A1 (en) * 2013-02-01 2014-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning composition and method for semiconductor device fabrication
US9881816B2 (en) * 2013-02-01 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning composition and method for semiconductor device fabrication
US20170018423A1 (en) * 2015-07-15 2017-01-19 Oem Group, Inc. Apparatus and Method for Processing the Surface of a Workpiece Comprised of Sensitive Materials with an Ozone and Carbon Dioxide Treating Fluid
US11458214B2 (en) 2015-12-21 2022-10-04 Delta Faucet Company Fluid delivery system including a disinfectant device

Also Published As

Publication number Publication date
US6701941B1 (en) 2004-03-09
US20030205254A1 (en) 2003-11-06
US20020050279A1 (en) 2002-05-02
US6601594B2 (en) 2003-08-05
US6497768B2 (en) 2002-12-24
US20020020436A1 (en) 2002-02-21
US20010042555A1 (en) 2001-11-22
US6591845B1 (en) 2003-07-15
US6267125B1 (en) 2001-07-31
US6273108B1 (en) 2001-08-14
US6817370B2 (en) 2004-11-16
US6582525B2 (en) 2003-06-24

Similar Documents

Publication Publication Date Title
US20050072446A1 (en) Process and apparatus for treating a workpiece
US6869487B1 (en) Process and apparatus for treating a workpiece such as a semiconductor wafer
US20040103919A1 (en) Single wafer cleaning with ozone
EP1100630B1 (en) Process and apparatus for treating a workpiece such as a semiconductor wafer
US7264680B2 (en) Process and apparatus for treating a workpiece using ozone
EP1481741B1 (en) Process and system for treating a workpiece such as a semiconductor wafer
US20060151007A1 (en) Workpiece processing using ozone gas and chelating agents
US7163588B2 (en) Processing a workpiece using water, a base, and ozone
US6982006B1 (en) Method and apparatus for treating a substrate with an ozone-solvent solution
JP2004538635A5 (en)
US20020066464A1 (en) Processing a workpiece using ozone and sonic energy
US20060137723A1 (en) Workpiece processing using ozone gas and solvents
US20050034745A1 (en) Processing a workpiece with ozone and a halogenated additive
JP2004281620A (en) Washing method of wafer
WO2000007220A2 (en) Wet processing methods for the manufacture of electronic components using ozonated process fluids
JP2002261068A (en) Device and method for substrate treatment

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION