WO2004038599A1 - Rekonfigurierbare sequenzerstruktur - Google Patents

Rekonfigurierbare sequenzerstruktur Download PDF

Info

Publication number
WO2004038599A1
WO2004038599A1 PCT/EP2003/009957 EP0309957W WO2004038599A1 WO 2004038599 A1 WO2004038599 A1 WO 2004038599A1 EP 0309957 W EP0309957 W EP 0309957W WO 2004038599 A1 WO2004038599 A1 WO 2004038599A1
Authority
WO
WIPO (PCT)
Prior art keywords
cell
memory
data
element field
cells
Prior art date
Application number
PCT/EP2003/009957
Other languages
English (en)
French (fr)
Inventor
Martin Vorbach
Original Assignee
Pact Xpp Technologies Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=32180554&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=WO2004038599(A1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Priority claimed from DE2002141812 external-priority patent/DE10241812A1/de
Priority to US10/526,595 priority Critical patent/US7394284B2/en
Priority to AU2003289844A priority patent/AU2003289844A1/en
Priority to JP2004545763A priority patent/JP4388895B2/ja
Priority to EP03782172A priority patent/EP1537486A1/de
Application filed by Pact Xpp Technologies Ag filed Critical Pact Xpp Technologies Ag
Publication of WO2004038599A1 publication Critical patent/WO2004038599A1/de
Priority to US12/082,073 priority patent/US7602214B2/en
Priority to US12/541,299 priority patent/US7782087B2/en
Priority to US13/626,047 priority patent/US8803552B2/en
Priority to US14/458,099 priority patent/US9274984B2/en
Priority to US15/052,730 priority patent/US9817790B2/en
Priority to US15/811,192 priority patent/US10296488B2/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7867Architectures of general purpose stored program computers comprising a single central processing unit with reconfigurable architecture
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/36Handling requests for interconnection or transfer for access to common bus or bus system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • G06F13/4063Device-to-bus coupling
    • G06F13/4068Electrical coupling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30145Instruction analysis, e.g. decoding, instruction word fields
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components

Definitions

  • the present invention relates to a line element array and a method for operating the same.
  • the present invention deals in particular with reconfigurable data processing architectures.
  • a reconfigurable architecture is understood to mean, among other things, building blocks (VPU) which have a large number of elements which are variable in function and / or networking in operation.
  • the elements can include arithmetic logic units, FPGA areas, input / output cells, memory cells, analog modules, etc. Blocks of this type are known, for example, under the name VPU.
  • the PAEs are arranged in any configuration, mixture and hierarchy, the arrangement being referred to as a PAE array or PA for short.
  • a configuration unit can be assigned to the PAE array.
  • VPU modules, systolic arrays, neural networks, multiprocessor systems, processors with several arithmetic units and / or logic cells, networking and network components such as crossbar circuit etc. are known, as are FPGAs, DPGAs, transputers etc.
  • essential aspects of VPU technology include: B. are described in the following property rights of the same applicant and the associated subsequent applications for the listed property rights:
  • the architecture has considerable advantages over conventional processor architectures insofar as data processing is carried out in a manner that has a high proportion of parallel and / or vectorial data processing steps.
  • the advantages of the architecture compared to other processor, coprocessor or generally data processing units become less if the advantages of networking and the given special processor architecture can no longer be fully realized.
  • the object of the present invention is to provide something new for commercial use.
  • function cell / memory cell combinations are formed in which a control connection is made from the function cell means to the memory cell means.
  • This control connection serves to make the address and / or data input / output from the memory controllable by the assigned function cell, typically an ALU-PAE. For example, it can be specified whether the next transmitted information is to be treated as an address or as data and whether read and / or write access is required.
  • an ALU-PAE that has a separate one Unit represents, in addition to a separate RAM-PAE and possibly a series of I / O-PAEs using or arranging appropriate control lines or connections The same can be arranged because more memory is often required there, for example in order to temporarily store results generated in the field central area of the cell field and / or to pre-store and / or prepare the data required for the data flow through them.
  • a small memory can be provided therein for various commands to be executed by the functional cell means such as the ALU. It is in particular possible here to separate the command or configuration memory from a data memory, and it is possible to make the function memory large enough that one of several, for example two, different sequences can alternatively be processed.
  • the sequence to be processed can take place in response to results generated in the cell and / or control signals entering the cell from the outside, such as carry, overflow, etc. trigger signals. In this way, this arrangement can also be used for wave reconfiguration processes.
  • sequencer-like program parts in the field can be executed in parallel or vectorially when executing largely parallel algorithms per se and vice versa.
  • sequencer-like structures in the cell element field be it sequencer-like structures in an area connected by connection with neighboring cells or buses, or combinations of spatially distinguishable, separate and also separately usable functional cell elements, such as ALU-PAEs and memory cell elements such as RAM -PAEs to be clocked higher.
  • HUFFMANN coding which can be processed much better sequentially than in parallel and which also plays an important role for applications such as MPEG4 coding, but the essential other parts of MPEG4 coding can be easily parallelized.
  • Parallel data processing is then used for most parts of an algorithm and a sequential processing block is provided therein.
  • increasing the clock frequency in the sequencer area by a factor of 2 to 4 will be sufficient.
  • the cell element field with the cells that can be configured in function and / or networking can, of course, form a processor, a coprocessor and / or a microcontroller, or a parallel plurality or combinations thereof.
  • the function cells are typically formed as arithmetic logic units, whereby they represent in particular coarse-grained elements, but which, for. B. can be provided with a fine-grained state machine.
  • the ALUs are so-called extended ALUs (EALU), as described in the earlier applications of the present applicant.
  • An extension can include, in particular, the control line control, command decoding unit, etc., if necessary.
  • the memory cells can store data and / or information in a volatile and / or non-volatile manner. If information stored in the memory cells, be it program steps, addresses for accessing data or data stored in register or heap-like form as volatile data, complete reconfiguration can take place during operation. Alternatively, it is possible to provide non-volatile memory cells.
  • the non-volatile memory cells can be provided, for example, as an EE prom area and the like, in which a rudimentary bios program is stored, which is to be executed when the arrangement is started up. In this way, a data processing device can be started up without additional components.
  • a non-volatile data memory can also be provided if, for reasons of cost and / or space, it is decided that the same program parts are to be executed again and again, whereby it is also possible to switch under such fixed program parts, for example according to the type of WAVE reconfiguration.
  • the possibilities of providing and using such non-volatile memories are the subject of other protective rights of the applicant. It is possible to store both volatile and non-volatile data in the memory cells, for example by to save a bios program f.est and still be able to use the memory cell for other purposes.
  • the memory cell is preferably designed such that it can store a sufficient number of data to be processed and / or program parts to be processed.
  • these program parts can be designed both as program steps, each of which specifies what an individual, in particular the assigned PAE, in particular the function cell controlling the memory cell, has to do in the next step, as well as entire configurations for field areas or other fields.
  • the built-up sequencer structure it is easily possible for the built-up sequencer structure to issue a command, on the basis of which a reconfiguration of row element field areas takes place.
  • the functional cell that triggers this configuration then also works as a charging logic.
  • the information stored in the memory cell upon activation of the function cell controlling it, gives directly or indirectly to a bus leading to the function cell. Indirect output can take place in particular when the two cells are adjacent and the information requested by the control must arrive at the ALU-PAE via a bus segment that cannot be connected directly to the output of the memory cell. In such a case, the memory cell can output data on this bus system in particular via a backward register. It is therefore preferred if at least one of the
  • ⁇ o - cher cell and / or functional cell has such a backward register, which can be arranged in the information path between the memory cell and the functional cell.
  • these registers do not necessarily have to be provided with further functionalities, although this is the case, for example, when data is requested from the memory cell for further processing, in accordance with a conventional LOAD command from a typical microprocessor, in order to change the data before it is loaded into the PAE is easily conceivable to z. B. to implement a LOAD ++ command.
  • the data transmission through reverse-working ALUs and the like having PAEs should be mentioned.
  • the memory cell will preferably be arranged to receive information from the functional cell controlling it, it also being possible to store information via an input-output cell and / or a cell that does not control the memory cell.
  • this input / output cell I / O-PAE
  • the address at which information to be written into the memory cell or possibly also directly transmitted to the function cell (PAE) can be read to the I / O-PAE from the ALU-PAE.
  • this address can be defined in the I / O-PAE via an address translation table, an address translation buffer or an MMU-like structure. In such a case, the full functionalities of typical microprocessors result. That there is also an I / O functionality with a functional cell medium, a memory cell medium and / or Function cell agent-memory cell agent combination can be integrated, should be mentioned.
  • function cells and memory cells be it as an integrated function cell and memory cell combination or as a function cell and memory cell combination made up of separate units, is therefore assigned in a preferred variant at least one input / output means, with which an external device is then assigned Unit, another function cell, function cell-memory cell combination and / or memory cells information can be sent and / or received by this.
  • the input / output unit is preferably also designed to receive control commands from the functional cell or from the functional cell means.
  • control connection is designed to transmit at least some and preferably all of the following commands:
  • PROGRAM POINTER WRITE EXTERN PROGRAM POINTER READ INTERNAL
  • the arrangement will typically be selected such that the functional cell can access the control connection and / or a bus segment or bus system serving as a control connection as the sole master.
  • the result is an arrangement in which the control line acts as a command line, as is provided in conventional processors.
  • the functional cell and the memory cell or I / O cell are preferably arranged adjacent. Neighboring can, as preferred, mean that the cells are arranged directly next to one another. Immediately means in particular a combination of such cells to form integrated units that are repeated on the cell element field or as part be provided to form the field. This can mean an integral unit of memory and logic cells. Alternatively, they are at least close together.
  • the arrangement of the function and memory cells in an integrated or close proximity to one another ensures that no, at least no significant, latency times occur between activation and data input of the requested information in the function cell, simply because the connections between the cells are too long. This should be understood as "direct”. If latency times have to be taken into account, pipelining can also be provided in the sequencer structures.
  • the function cells, the information provision cells such as memory cells, I / O cells and the like are arranged in a multidirectional manner, in particular in the manner of a matrix or on grid points of a one-dimensional grid, etc. If a regular As is the case there, a cell is typically supplied with information, ie operands, configurations, trigger signals, etc.
  • Protection is also claimed for a method for operating a cell element field, in particular multidimensional cell element field with functional cells for performing algebraic and / or logical functions and information provision cells, in particular memory cells and / or
  • Input / output cells for receiving and / or outputting information and / or storing the same, at least one of the function cells issuing control commands to at least one information supply cell, information is provided there for the function cell in response to the control commands, and the function cell is designed to carry out the further data processing in response to the information provided, in order to at least temporarily process data in the manner of a sequencer.
  • Fig. 1 a cell element field according to the invention
  • FIG. 3 shows an alternative embodiment of the detail from FIG. 2,
  • FIG. 5 shows an example of the functional folding onto a functional cell / memory cell combination of the invention
  • FIG. 6a shows an example of a sequentially parallel one
  • FIG. 6b a particularly preferred exemplary embodiment of the invention
  • FIG. 7 an alternative to a function folding unit.
  • a cell element field, generally designated 1, for data processing 1 comprises functional cell means 2 for performing arithmetic and / or logical functions and memory cell means 3 for receiving, storing and / or outputting information, a control connection 4 from functional cells 2 to the memory cells 3 is performed.
  • the cell element field 1 is freely configurable in the networking of the elements 2, 3, 4, and specifically without disrupting the line element parts that are not to be reconfigured during operation.
  • the connections can be configured by switching bus systems 5 as required.
  • the respective function cells 2 can also be configured.
  • the function cells are arithmetic logic units that are expanded by certain circuits that enable reconfiguration, such as state machines, interface circuitry for communication with the external charging logic 6, etc. Reference is made to the applicant's corresponding advance registrations.
  • the cell elements 2, 3 of the cell element array 1 are arranged two-dimensionally in rows and columns, a memory cell 3 lying directly next to a function cell 2 and here three rows of memory cells and function cells each in which the function and memory cells are located Control connections 4 are interconnected.
  • the function and memory cells 2, 3, or the combination thereof have inputs which can be connected to the bus system above the row in which the respective cell elements are located in order to receive data therefrom.
  • the cells 2, 3 have outputs which output data to the bus system 5 below the row.
  • each memory cell 3 is also provided with a backward register (BW), through which data can be passed through from the bus below a row to the bus above the respective row.
  • BW backward register
  • the memory cell means 3 also preferably has at least 3 memory areas, namely a so-called data area, a program memory area and a stack area, etc. However, in other variants of the invention it may be sufficient to provide only two areas, namely a data memory and a program memory area, each of which can form part of a memory cell means. In particular, it is possible not simply to separate a memory which is homogeneous per se and which is identical in terms of hardware into different areas, but rather to actually provide memory areas which are physically or hardware-technically separate. In particular, an adaptation of the storage width and / or depth to the respective requirements can also be provided.
  • this memory or memory area for simultaneous access to data and program memory areas, for example as a dual-port memory. It may also be possible to provide closely coupled memory areas, in particular within a memory cell means-functional cell means combination, which is formed to form an integrated area, as a pure cache memory, in which data from more distant memory locations in particular for quick access during data processing be preloaded.
  • the cell element field for data processing from FIG. 1 is a conventional cell element field, as is the case with reconfigurable ones Data processing arrangements, for example a VPU according to the applicant's XPP technology, are common and known.
  • the cell element field of FIG. 1 can be operated as is known, that is to say it has corresponding circuits for wave reconfiguration, for debugging, transmission of trigger signals, etc.
  • the first peculiarities of the cell element field of the present invention result from the control connection 4 and the associated circuitry, which will be described in more detail below with reference to FIGS. 2a-c. It should be mentioned that while in FIG.
  • control connection 4 is always led from a functional cell element located further to the left to a memory cell located further to the right, and only and precisely to such a memory cell, it is evidently possible also for the control lines to provide configurable networking in order either to address memory cells located elsewhere and / or to be able to address more than one memory cell if necessary, for example if there is a large amount of memory required for information to be received, stored and / or output from the memory cells is.
  • FIGS. 1 and 2 reference is only made in FIGS. 1 and 2 to fixedly provided individual control connections, which makes understanding of the invention considerably easier.
  • the control connection can, if necessary, be replaced by conventional lines, provided the appropriate protocols are used.
  • the function cell 2 is referred to as an ALU and the function cell 3 as a RAM.
  • the bus 5a which connects the backward register 3a already mentioned to the inputs 3b of the memory cell and 2b of the ALU.
  • the bus system running below the row is designated 5b and only the relevant segments of the bus system 5a, 5b are drawn. It can be seen that the bus system 5b alternatively receives data from an output 2c of the ALU 2, an output 3c of the RAM 3 and that it feeds data into the input 3al of the backward register.
  • the ALU 2 also has further inputs and outputs 2a, 2a2, which can be connected to other bus segments and via which the ALU receives data such as operands or outputs results.
  • the control connection 4 is permanently under the control of the extended circuits of the ALU and here represents a connection via which a large number of bits can be transmitted.
  • the width of the control connection 4 is selected such that at least the following control commands can be transmitted to the memory cell: DATA WRITE, DATA READ, ADRESSPOINTER WRITE, ADRESSPOINTER READ, PROGRAMMPOINTER WRITE, PROGRAMMPOINTER READ, PROGRAMMPOINTER INCREMENT, STACKPOINTER WRITE, STACKPOINTER , PUSH, POP.
  • the memory cell 3 also has at least three memory areas, namely a so-called stack area, a heap area and a program area. Each area is assigned its own pointer, which is used to determine which area of the stack, the heap and the program area is read or write accessed.
  • Bus 5a is shared by units 2 and 3 in time division. This is indicated in FIGS. 2b, 2c. 2b shows a situation in which data can be sent from the output 2a2 of the ALU-PAE via the backward register to the input of the RAM cell, whereas the connection which exists at the same time, but is not used, between the output 3c of the RAM to the bus 5b and the connection between the output of the backward register BW to the input 2b of the ALU-PAE is of no importance at the time of FIG. 2b, which is why they are indicated by dashed lines.
  • a circuit 3d is provided within the RAM cell 3, in which the information received via the control line 4 or the control line bus segment 4 is decoded.
  • the invention is used as follows:
  • the ALU 2 receives configuration information from a central loading logic, as is already known in the prior art.
  • the information transmission can be done in a manner known per se using the RDY / ACK protocol and the like. Provided, etc. to the possibility for the PLU a FIL MO memory to proper configurations "ration of the arrangement to allow is pointed.
  • the data for the configuration of the ALU 2 is also used to transmit a series of data from the loading logic, which represents a program or program part to be processed sequentially.
  • the loading logic which represents a program or program part to be processed sequentially.
  • FIG. 6a in which the HUFFMANN coding is shown as a central sequential part of an MPEG4 coding which is per se data flow-like.
  • the ALU therefore issues a corresponding command on line 4 during its configuration, which sets the program pointer for writing to a predetermined value within the RAM.
  • the Charging logic at the ALU receives data received via output 2c via bus 5bl and backward register 3a and from there it arrives at input 3b of RAM-PAE 3.
  • ALU-PAE The relevant communication between ALU-PAE and RAM -PAE takes place via the control line 4, so that the ALU-PAE can carry out the decoding at any time.
  • data can also be received from a stack or another RAM memory area and data can also be received from outside as operands in the ALU-PAE.
  • the program sequence that was preconfigured in the RAM-PAE by the loading logic takes place.
  • command decoding is carried out at the same time, as required per se. This is done with the same circuits per se, which are already used for decoding the commands received from the loading logic.
  • the control line 4 is checked at all times via the ALU that the RAM cell always follows the type of memory access which is specified by the ALU. In this way it is ensured that regardless of the time-multiplex use of the bus elements 5a, b, the elements present in the sequencer structure are predetermined at any time whether there are addresses on the buses for data or codes to be fetched and / or to be written or whether and if so where to write data etc.
  • FIG. 2 The arrangement shown in relation to FIG. 2 can be expanded or changed in different ways.
  • the variants shown in FIGS. 3, 4 and 6 are particularly relevant.
  • the ALU-PAE not only communicates with a RAM-PAE, but also with an input / output-PAE, which is designed to provide an interface circuit for communication with external components, such as hard disks, other XPP-VPUs, and others Processors and coprocessors, etc.
  • the ALU-PAE is the unit that acts as the master for the control connection referred to as "CMD", and again the buses are used in a multiplexed manner. Again, data transfer from the bus can be under the row into the bus above the row through the backward register.
  • the arrangement shown in FIG. 4 makes it particularly easy to make external access to information that cannot be stored in the RAM-PAE memory cell and thus enables the sequencer structure to be adapted to an even greater extent to existing, conventional CPU technologies and their operating methods than address translation means, memory management units (MMU functions) and the like can now be implemented in the input / output cell.
  • the RAM-PAE can serve as a cache, but in particular as a preloaded cache.
  • sequencer structures can be configured into one and the same field at the same time, that function cells, memory cells and possibly input / output cells can optionally be configured for sequencer structures and / or in a conventional manner for XPP technology, and that it can be easily done it is possible that an ALU outputs data to another ALU, which they configure in a sequencer manner and / or to part of a cell make field with which a certain configuration is processed. In this way, the charging logic may then also be unnecessary.
  • two embodiments of the invention are combined in one and the same cell element field, namely at the edges of two PAEs each, namely a sequencer formed from one RAM and one ALU-PAE, and inside with integrated RAM-ALU-PAEs as integrated Function cell memory cell units are formed sequencers, it being possible to form only a part of the cells inside the field as combination cells.
  • FIG. 5 shows on the right (FIG. 5c) a combination of functional cells and memory cells.
  • a function cell / memory cell means combination comprises bus connections or inputs 51 for the input of operand and configuration data and, as is particularly preferred here, also trigger signals (not shown) and the like and a bus output 52 for the output of corresponding data or signals.
  • An ALU 53 is provided within the functional cell means-memory cell means combination, as well as input registers RiO to Ri3 for operand data and trigger signal input register (not shown).
  • the registers Rc and Rd for the configuration data or opcode data are controlled by the ALU 53 via control command lines 4 and feed data via suitable data lines into the ALU or received from this result data. It is also possible to feed information from the bus 51 or the input registers Ri directly to the output register or the bus 52, just as information can be fed not only to the ALU but also to the output registers from the data registers RdO. If necessary, connections can be provided between the memory areas Rd and Rc, for example to implement the possibility of self-modifying codes.
  • the configuration data area RcO to Rc7 has a controller which allows work to be carried out on parts of the area, in particular repeatedly cyclically and / or by means of jumps. This makes it possible, for example in a first partial configuration, to repeatedly process commands which are in RcO to Rc3 and, alternatively, for example on receipt of a corresponding other trigger signal via bus line 51, to process configuration commands which are in Rc4 to Rc7. This ensures that a wave configuration can be executed. It should be noted that the configuration commands stored typically only represent instructions to the ALU, but do not define complete bus connections, etc.
  • the above-described unit shown in FIG. 5 is designed here to be operated at four times the clock rate, like a normal PAE without memory cell means and / or control signal lines 4.
  • registers are understood as memory cell means or parts thereof. It is clear that by enlarging the memory cell areas, more complex tasks can be arranged in a sequence-like manner, but that with the small sizes specified, essential parts of important algorithms can be processed with high efficiency.
  • the function folding units are preferably formed in such a way that data can be switched through them without being processed in the ALU.
  • This can be used to achieve path balancing, in which, for example, data packets have to be processed via different branches and then (again) merged without using forward registers, as are known from the applicant's architecture.
  • FIG. 7 An alternative to the functional folding unit shown in FIG. 5 is shown in FIG. 7.

Abstract

Die Erfindung betrifft ein Zellementefeld zur Datenverarbei tung mit Funktionszellmitteln zur Ausführung algebraischer und/oder logischer Funktionen und Speicherzellmitteln, um Information zu empfangen, abzuspeichern und/oder auszugeben. Hierbei ist vorgesehen, dass Funktionszellen­-Speicherzellen-Kombinationen gebildet sind, bei denen von den Funktionszell mitteln eine Steuerverbindung zu den Speicherzellmitteln geführt ist.

Description

Titel: Rekonfigurierbare Sequenzerstruktur
Beschreibung
Die vorliegende Erfindung betrifft ein Zeilelementefeld und ein Verfahren zum Betrieb desselben. Damit befaßt sich die vorliegende Erfindung insbesondere mit rekonfigurierbaren Da- tenverarbeitungsarchitekturen .
Unter einer rekonfigurierbaren Architektur werden u. a. Bausteine (VPU) verstanden, die eine Vielzahl in Funktion und/oder Vernetzung im Betrieb veränderliche Elemente aufwei- sen. Zu den Elementen können arithmetische Logikeinheiten, FPGA-Bereiche, Ein-Ausgabezellen, Speicherzellen, analoge Baugruppen usw. gehören. Bausteine dieser Art sind beispielsweise unter der Bezeichnung VPU bekannt. Diese umfaßt typisch als PAEs bezeichnete ein- oder mehrdimensional angeordnete arithmetische und/oder logische und/oder analoge und/oder speichernde und/oder vernetzende Baugruppen und/oder kommunikative periphere Baugruppen (IO), die direkt oder durch einen oder mehrere Bussysteme miteinander verbunden sind. Die PAEs sind in beliebiger Ausgestaltung, Mischung und Hierarchie an- geordnet, wobei die Anordnung als PAE-Ärray oder kurz PA bezeichnet wird. Es kann dem PAE-Array eine konfigurierende Einheit zugeordnet sein. Prinzipiell sind neben VPU-Bau- steinen auch systolische Arrays, neuronale Netze, Mehrprozessorsysteme, Prozessoren mit mehreren Rechenwerken und/oder logischen Zellen, Vernetzungs- und Netzwerkbausteine wie Crossbar-Schaltung usw. bekannt, genauso wie FPGAs, DPGAs, Transputer usw. Es wird darauf hingewiesen, dass wesentliche Aspekte der VPU- Technik z. B. in den folgenden Schutzrechten desselben Anmelders sowie den zugehörigen Nachanmeldungen zu den aufgeführten Schutzrechten beschrieben sind:
P 44 16 881.0-53, DE 197 81 412.3, DE 197 81 483.2, DE 196 54 846.2-53, DE 196 54 593.5-53, DE 197 04 044.6-53, DE 198 80 129.7, DE 198 61 088.2-53, DE 199 80 312.9, PCT/DE 00/01869, DE 100 36 627.9-33, DE 100 28 397.7, DE 101 10 530.4, DE 101 11 014.6, PCT/EP 00/10516, EP 01 102 674.7, DE 102 06 856.9, 60/317,876, DE 102 02 044.2, DE 101 29 237.6-53, DE 101 39 170.6.
Es sei darauf hingewiesen, dass die vorgenannten Dokumente zu Offenbarungszwecken insbesondere hinsichtlich Besonderheiten und Details der Vernetzung, Konfiguration, Ausgestaltung von Architekturelementen, Triggerverfahren usw. eingegliedert sind.
Die Architektur hat beachtliche Vorteile gegenüber herkömmlichen Prozessorarchitekturen, soweit damit Datenverarbeitung in einer Art und Weise erfolgt, die hohe Anteile an parallelen und/oder vektoriellen Datenverarbeitungsschritten besitzt. Die Vorteile der Architektur gegenüber anderen Prozes- sor-, Coprozessor- oder generell Datenverarbeitungseinheiten werden jedoch geringer, wenn sich die Vorteile der Vernetzung und der gegebenen prozessorarchitektonischen Besonderheiten nicht mehr in vollem Umfange realisieren lassen.
Dies ist besonders dann der Fall, wenn Datenverarbeitungsschritte abzuarbeiten sind, die herkömmlich am besten auf Sequenzer-Strukturen abgebildet werden können. Es ist wün- sehenswert, die rekonfigurierbare Architektur derart auszugestalten und zu verwenden, dass auch typisch mit Sequenzern besonders gut abzuarbeitende Datenverarbeitungsschritte besonders schnell und effizient abgearbeitet werden können.
Die Aufgabe der vorliegenden Erfindung besteht darin, Neues für die gewerbliche Anwendung bereitzustellen.
Die Lösung dieser Aufgabe wird unabhängig beansprucht. Bevor- zugte Ausführungsformen finden sich in den Unteransprüchen.
Gemäß einem ersten wesentlichen Aspekt der Erfindung wird somit bei einem in Funktion und/oder Vernetzung insbesondere zur Laufzeit ohne Störung nicht rekonfigurierter Elemente re- konfigurierbaren Zellementefeld zur Datenverarbeitung mit insbesondere grobgranularen Funktionszellmitteln zur Ausführung algebraischer und/oder logischer Funktionen und Speicherzellmitteln, um Information zu empfangen, abzuspeichern und/oder auszugeben, vorgeschlagen, dass Funktionszellen- Speicherzellenkombinationen gebildet sind, bei denen von den Funktionszellmitteln eine Steuerverbindung zu den Speicherzellmitteln geführt ist. Diese Steuerverbindung dient dazu, die Adress- und/oder Datenein/ausgabe aus dem Speicher durch die zugeordnete Funktionszelle, typisch einer ALU-PAE, steu- erbar zu machen. So kann etwa angegeben werden, ob die nächste übertragene Information als Adresse oder als Daten behandelt werden soll und ob ein Lese- und/oder Schreibzugriff erforderlich ist. Diese Übertragung von Daten aus der Speicherzelle beziehungsweise den Speicherzellmitteln, bei der es sich etwa um eine RAM-PAE handeln kann, auf die Funktionszellmittel, bei denen es sich etwa um eine ALU-PAE handeln kann, erlauben dann, dass neue, von der ALU abzuarbeitende Befehle in diese geladen werden können. Es sei darauf hingewiesen, dass Funktionszellmittel und Speicherzellmittel durch Integration in eine strukturelle Einheit zusam engefasst werden können. In einem solchen Fall ist es möglich, einen ein- zigen Busanschluss zu verwenden, um Daten in die Speicherzellmittel und/oder die ALU einzuführen. Es können dann geeignete Eingangsregister und/oder Ausgangsregister vorgesehen sein und, falls gewünscht, hiervon verschiedene zusätzliche Daten- und/oder Konfigurationsregister als Speicherzellmit- tel.
Es sei auch erwähnt, dass es möglich ist, ein Zellelemente- feld aufzubauen, das eine Vielzahl unterschiedlicher Zellen bzw. Zellengruppen enthält, wobei bevorzugt mit den unter- schiedlichen Zellen Streifen oder dergleichen reguläre Muster vorgesehen werden, da diese sehr reguläre Anordnung ermöglicht, den hardwaretechnischen Aufbau und den Betrieb gleichermaßen zu erleichtern. Bei einem solchen streifenartigen oder anderen regulären Aufbau aus einer geringen Mehrzahl an unterschiedlichen Zellelementen können beispielsweise Elemente mit integrierten Funktionszellmittel-Speicherzellmittelkombinationen, das heisst Zellen, in denen Funktionszeil- und Speicherzellmittel gemäß der Erfindung integriert sind, zentral im Feld vorgesehen werden, wo typisch nur wenige unter- schiedliche Programmschritte innerhalb einer Sequenzerstruk- tur abzuarbeiten sind, weil dies, wie erkannt wurde, für herkömmliche Datenstromanwendungen sehr gute Ergebnisse gibt, während an den Feldrändern komplexere Sequenzerstrukturen aufgebaut werden können, bei denen etwa eine ALU-PAE, die ei- ne separate Einheit darstellt, neben einer separaten RAM-PAE und gegebenenfalls einer Reihe I/O-PAEs unter Verwendung bzw. Anordnung entsprechender Steuerleitungen oder Verbindungen derselben angeordnet werden können, weil dort oftmals mehr Speicher benötigt wird, etwa um im Feldzentralbereich des Zellele entefeldes erzeugte Ergebnisse zwischenzuspeichern und/oder für das Datenströmen durch dieses benötigte Daten vorabzulegen und/oder entsprechend aufzubereiten.
Wenn, etwa in der Feldmitte, Zellen vorgesehen werden, die Speicherzellmittel und Funktionszellmittel integrieren, so kann in diesen ein kleiner Speicher für verschiedene, von den Funktionzellmittel wie der ALU auszuführende Befehle vorgesehen sein. Es ist hier insbesondere möglich, den Befehls- beziehungsweise Konfigurationsspeicher zu trennen von einem Datenspeicher, und es ist möglich, den Funktionsspeicher so groß auszubilden, dass alternativ eine von mehreren, bei- spielsweise zwei, unterschiedlichen Sequenzen abgearbeitet werden kann. Die jeweils abzuarbeitende Sequenz kann im Ansprechen auf in der Zelle generierte Ergebnisse und/oder in die Zelle von außen eingehende Steuersignale, wie Carry, Overflow- usw. Triggersignale erfolgen. Auf diese Weise wird diese Anordnung auch verwendbar für Verfahren der Wave- Rekonfiguration.
Es ist auf diese Weise möglich, lediglich durch Vorsehen einer dedizierten und dediziert funktionszellenkontrollierten Steuerverbindung zwischen Funktionszelle bzw. Funktionszellmittel und Speicherzelle bzw. Speicherzellmittel bereits mit nur zwei Elementen, die über geeignete Busse verbunden sind, eine Sequenzerstruktur in einem Zeilelementefeld aufzubauen, ohne dass ansonsten weitere Maßnahmen und/oder bauliche Ver- änderungen erforderlich sind. In der Speicherzelle können Daten, Adressen, Programmschritte usw. in per se aus herkömmlichen Prozessoren bekannter Weise abgelegt werden. Weil beide Elemente auch in anderer Weise bei entsprechender Konfiguration einsetzbar sind, ergibt sich eine besonders effiziente Bauweise, die sowohl Sequenzerstrukturen als auch vektoriel- len und/oder parallelisierbaren Strukturen besonders gut anpaßbar ist. So können allein durch geeignete PAE-Ausgestaltungen Parallelisierungen unterstützt werden, etwa durch das Vorsehen von in zwei unterschiedliche räumliche Richtungen arbeitende PAEs und/oder durch mit Datendurchschleuseregi- stern versehene Zelleinheiten.
Es ist einsichtig, dass durch die Verwendung von lediglich zwei Zellen in einem Zeilelementefeld, nämlich der Funktionszelle und der Informationsbereitstellungszelle eine Vielzahl von sequenzerartigen Strukturen in dem rekonfigurierbaren Zellelementefeld aufgebaut werden kann. Dies ist insofern vorteilhaft, als oftmals bei der Datenverarbeitung, etwa in einem multitaskingfähigen Betriebssystem, eine Reihe unterschiedlicher und voneinander per se verschiedener Aufgaben abgearbeitet werden muß. Es können dann eine Vielzahl derar- tiger Aufgaben in einem einzigen Zeilelementefeld effektiv gleichzeitig abgearbeitet werden. Die Vorteile für Echtzeitanwendungen sind offensichtlich. Weiter ist es auch möglich, die einzelnen Sequenzerstrukturen, die in einem Zellelementefeld unter Vorsehung der erfindungsgemäßen Steuerver- bindung aufgebaut werden, mit unterschiedlichen Taktraten zu betreiben, etwa um den Stromverbrauch dadurch zu senken, dass Aufgaben mit geringerer Priorität langsamer abgearbeitet werden. Es ist überdies möglich, bei der Ausführung per se weitgehend paralleler Algorithmen sequenzerartige Programmteile in dem Feld parallel oder vektoriell abzuarbeiten und umgekehrt. Typisch wird jedoch bevorzugt sein, dass sequenzerartige Strukturen im Zellelementefeld, seien es sequenzerartige Strukturen mit in einem durch Verbindung mit Nachbarzellen oder Bussen verbundenen Bereich oder seien es Kombinationen aus räumlich unterscheidbaren, separaten und auch separat verwendbaren Funktionszellelementen, wie ALU-PAEs und Speicherzellelementen wie RAM-PAEs, höher getaktet werden. Dies hat den Vorteil, dass sequenzielle Programmteile, die sich allenfalls sehr schlecht parallelisieren lassen, in einer allgemeinen Datenflussverarbeitung einsetzen lassen, ohne dass die Gesamtdatenverarbeitung beeinträchtigt wird. Beispiele hierfür sind etwa gegeben durch eine HUFFMANN-Co- dierung, die wesentlich besser sequenziell als parallel abarbeitbar ist und die zugleich für Anwendungen wie die MPEG4- Codierung eine wichtige Rolle spielt, wobei aber die wesentlichen anderen Teile der MPEG4-Codierung gut parallelisierbar sind. Es wird dann eine parallele Datenverarbeitung für die meisten Teile eines Algorithmus verwendet und ein sequenziel- ler Abarbeitungsblock darin vorgesehen. Typisch wird eine Er- höhung der Taktfrequenz im Sequenzerbereich um einen Faktor 2 bis 4 schon ausreichend sein.
Es sei erwähnt, dass an Stelle einer streifenartigen Anordnung unterschiedlicher Zellelemente auch eine andere, insbe- sondere multidimensionale Gruppierung gewählt werden kann.
Das Zellelementefeld mit den in Funktion und/oder Vernetzung konfigurierbaren Zellen kann einsichtigerweise einen Prozessor, einen Coprozessor und/oder einen Mikrocontroller bilden, bzw. eine parallele Vielzahl oder Kombinationen derselben. Die Funktionszellen sind typisch als arithmetische Logikeinheiten gebildet, wobei sie insbesondere grobgranulare Elemente darstellen, die aber z. B. mit einer feingranularen State- machine versehen sein können. In einem besonders bevorzugten Ausführungsbeispiel handelt es sich bei den ALUs um sogenannte erweiterte ALUs (EALU) , wie diese in den früheren Anmeldungen des vorliegenden Anmelders beschrieben wurden. Eine Erweiterung kann insbesondere die Steuerleitungskontrolle, Befehlsdekodiereinheit etc. umfassen, soweit erforderlich.
Die Speicherzellen können Daten und/oder Informationen flüchtig und/oder nichtflüchtig speichern. Wenn in den Speicherzellen abgelegte Informationen, seien es Programmschritte, Adressen für einen Zugriff auf Daten oder registerartig bzw. heap-artig abgelegte Daten als flüchtige Daten abgelegt sind, so kann eine vollständige Rekonfiguration während des Betriebes erfolgen. Alternativ ist es möglich, nichtflüchtige Speicherzellen vorzusehen. Die nichtflüchtigen Speicherzellen können etwa als EE-Prom-Bereich und dergleichen vorgesehen werden, in die ein rudimentäres Bios-Programm abgelegt wird, das bei Inbetriebnahme der Anordnung auszuführen ist. Auf diese Weise kann ohne weitere Bauteile eine Inbetriebnahme einer Datenverarbeitungseinrichtung erfolgen. Ein nichtflüchtiger Datenspeicher kann auch dann vorgesehen werden, wenn aus Kosten- und/der Raumgründen beschlossen wird, dass immer wieder dieselben Programmteile auszuführen sind, wobei auch unter solch festen Programmteilen, etwa nach Art der WAVE- Rekonfiguration, im Betrieb gewechselt werden kann. Die Möglichkeiten, derartige nichtflüchtige Speicher vorzusehen und zu verwenden, sind Gegenstand anderer Schutzrechte des Anmelders. Es ist möglich, sowohl flüchtige als auch nichtflüchtige Daten in den Speicherzellen abzuspeichern, etwa um ein Bios-Programm f.est abzulegen und die Speicherzelle dennoch für andere Zwecke nutzen zu können.
Die Speicherzelle ist bevorzugt so ausgebildet, dass sie eine hinreichende Vielzahl von zu verarbeitenden Daten und/oder abzuarbeitenden Programmteilen speichern kann. Es sei dabei darauf hingewiesen, dass diese Programmteile sowohl als Programmschritte ausgebildet sein können, die jeweils vorgeben, was eine einzelne, insbesondere die zugeordnete PAE, also insbesondere die die Speicherzelle steuernde Funktionszelle, im nächsten Schritt zu tun hat, als auch ganze Konfigurationen für Feldbereiche oder andere Felder beinhalten kann. In einem solchen Fall ist es ohne weiteres möglich, dass die aufgebaute Sequenzerstruktur einen Befehl ausgibt, auf Grund dessen eine Rekonfiguration von Zeilelementefeldbereichen erfolgt. Damit arbeitet die diese Konfiguration auslösende Funktionszelle dann zugleich als Ladelogik. Es sei darauf hingewiesen, dass die Konfiguration von anderen Zellen wiederum dergestalt erfolgen kann, dass dort eine sequenzerarti- ge Datenverarbeitung erfolgt und es ist in diesen Feldern wiederum möglich, andere Zellen im Verlauf der Programmarbei- tung zu konfigurieren bzw. rekonfigurieren. Damit ergibt sich ein iteratives Konfigurieren von Zeilelementebereichen und es ist ein Einschachteln von Programmen mit Sequenzer- und Pa- rallel-Strukturen möglich, die ähnlich ineinander geschachtelt sind wie eine Babuschka. Es sei darauf hingewiesen, dass hier insbesondere durch Ein-Ausgabezellen ein Zugriff auf weitere Zeilelementefelder außerhalb eines einzelnen integrierten Bausteines erfolgen kann, was die Gesamtrechenlei- stung massiv erhöhen kann. Es ist insbesondere möglich, bei Auftreten von Konfigurationen in einem Codeteil einer in ein Zellelementefeld hineinkonfigurierten Sequenzerstruktur gege- benenfalls entweder die Konfigurationsanforderungen auf einem zugewiesenen Zellelementefeld, das von der jeweiligen Sequenzerstruktur allein verwaltet wird, durchzuführen und/oder es können derartige Anforderungen an eine Konfigurations- Mastereinheit abgegeben werden, um sicherzustellen, dass eine gleichmäßige Belegung aller Zellelementefeider erfolgt. Es ergibt sich somit quasi ein Unterprogrammaufruf durch Übergabe von erforderlichen Konfigurationen an Zellen oder Ladelogiken. Dies wird für sich als schutzwürdig angesehen. Es sei auch darauf hingewiesen, dass die Zellen, sofern sie selbst für die Konfiguration anderer Zellelementfeldbereiche Zuständigkeit besitzen, mit hard- oder softwareartig implementierten FILMO-Strukturen und dergleichen zur Sicherstellung einer ordnungsgemäßen Rekonfiguration versehen sein können. Auf die Möglichkeit, die Speicherzellen während der Abarbeitung von Befehlen derart zu beschreiben, dass sich der abzuarbeitende Code bzw. das abzuarbeitende Programm ändert, sei hingewiesen. In einer besonders bevorzugten Variante ist diese Art der Selbstmodifikation (SM) aber durch eine entsprechende Steuerung über die Funktionszelle unterdrückt.
Es ist möglich, dass die Speicherzelle abgespeicherte Information auf die Ansteuerung der sie steuernden Funktionszelle hin direkt oder indirekt auf einen zur Funktionszelle führen- den Bus gibt. Die indirekte Ausgabe kann insbesondere dann erfolgen, wenn beide Zellen benachbart liegen und die durch Ansteuerung angeforderte Information an die ALU-PAE über ein Bussegment eintreffen muß, das nicht unmittelbar mit dem Ausgang der Speicherzelle verbunden werden kann. In einem sol- chen Fall kann die Speicherzelle Daten auf dieses Bussystem insbesondere über Rückwärtsregister (Backward-Register) ausgeben. Es ist daher bevorzugt, wenn zumindest eine von Spei-
ιo - cherzelle und/oder Funktionszelle ein solches Backward- Register aufweist, welches im Informationsweg zwischen Speicherzelle und Funktionszelle angeordnet werden kann. Diese Register brauchen in einem solchen Fall nicht zwingend mit weiteren Funktionalitäten versehen sein, obwohl dies etwa bei Anforderung von Daten aus der Speicherzelle für die weitere Verarbeitung, entsprechend einem herkömmlichen LOAD-Befehl eines typischen Mikroprozessors, zur Veränderung der Daten noch vor dem Hineinladen in die PAE ohne weiteres denkbar ist, um z. B. einen Befehl LOAD++ zu realisieren. Die Daten- durchleitung durch in umgekehrter Richtung arbeitende ALUs und dergleichen aufweisende PAEs sei erwähnt.
Die Speicherzelle wird bevorzugt dazu angeordnet sein, Infor- mationen von der sie steuernden Funktionszelle zu empfangen, wobei auch weiter ein Informationseinspeichern über eine Ein- Ausgabezelle und/oder eine die Speicherzelle nicht steuernde Zelle möglich ist. Insbesondere dann, wenn Daten von einer Ein-Ausgabezelle in die Speicherzelle geschrieben werden sol- len, ist es bevorzugt, wenn auch diese Ein-Ausgabezelle (I/O- PAE) von der Funktionszelle gesteuert wird. Dabei kann etwa die Adresse, bei welcher eine in die Speicherzelle zu schreibende oder gegebenenfalls auch direkt an die Funktionszelle (PAE) übertragene Information zu lesen ist, an die I/O-PAE von der ALU-PAE übermittelt werden. Es sei in diesem Zusammenhang darauf hingewiesen, dass diese Adresse über eine Adreßübersetzungstabelle (Adresstranslationtable) , einen Adresstranslationbuffer oder eine MMU-artige Struktur in der I/O-PAE festgelegt werden kann. Es ergeben sich in einem sol- chen Fall die vollen Funktionalitäten typischer Mikroprozessoren. Dass sich auch eine I/O-Funktionalität mit einem Funktionszellmittel, einem Speicherzellmittel und/oder einer Funktionszellmittel-Speicherzellmittel-Kombination integrieren lässt, sei erwähnt.
Der Kombination von Funktionszellen und Speicherzellen, sei es als integrierte Funktionszellen- und Speicherzellen-Kombination oder als aus separaten Einheiten aufgebaute Funktionszellen- und Speicherzellen-Kombination ist demnach in einer bevorzugten Variante zumindest ein Ein-Ausgabe-Mittel zugeordnet, mit welchem dann an eine externe Einheit, eine andere Funktionszelle, Funktionszellen-Speicherzellen- Kombination und/oder Speicherzellen Information gesandt und/oder von dieser empfangen werden kann.
Die Ein-Ausgabe-Einheit wird dabei bevorzugt gleichfalls zum Empfang von Steuerbefehlen aus der Funktionszelle bzw. vom Funktionszellmittel ausgebildet.
In einer bevorzugten Variante ist die Steuerverbindung dazu ausgebildet, zumindest einige und bevorzugt alle der nachfol- genden Befehle zu übertragen:
OPCODE FETCH,
DATA WRITE INTERN,
DATA WRITE EXTERN, DATA READ INTERN,
DATA READ EXTERN,
ADRESSPOINTER WRITE INTERN,
ADRESSPOINTER WRITE EXTERN,
ADRESSPOINTER READ INTERN, ADRESSPOINTER READ EXTERN,
PROGRAMMPOINTER WRITE INTERN,
PROGRAMMPOINTER WRITE EXTERN, PROGRAMMPOINTER READ INTERN,
PROGRAMMPOINTER READ EXTERN,
STACKPOINTER WRITE INTERN,
STACKPOINTER WRITE EXTERN,
STACKPOINTER READ INTERN,
STACKPOINTER READ EXTERN,
PUSH,
POP,
PROGRAMMPOINTER INCREMENT .
Dies kann durch eine entsprechende Bitbreite der Steuerleitung und eine zugeordnete Decodierung bei den Empfängern erfolgen. Die jeweils erforderlichen Steuer- und Decodiermittel können problemfrei und kostengünstig vorgesehen werden. Wie ersichtlich, ergibt sich mit den Befehlen eine praktisch vollständige Sequenzerfähigkeit der Anordnung. Dass auf diese Weise eine Allgemeinzweckprozessordatenverarbeitungseinheit erhalten wird, sei erwähnt.
Die Anordnung wird typisch so gewählt sein, dass die Funktionszelle als alleiniger Master auf die Steuerverbindung und/oder ein als Steuerverbindung dienendes Bussegment bzw. Bussystem zugreifen kann. Es ergibt sich somit eine Anordnung, bei der die Steuerleitung als Command-Leitung wirkt, wie sie in herkömmlichen Prozessoren vorgesehen ist.
Die Funktionszelle und die Speicherzelle bzw. I/O-Zelle sind bevorzugt benachbart angeordnet. Unter benachbart kann dabei wie bevorzugt verstanden werden, dass die Zellen unmittelbar nebeneinander angeordnet sind. Unmittelbar bedeutet insbesondere eine Kombination solcher Zellen zu integrierten Einheiten, die wiederholt auf dem Zellelementefeld bzw. als Teil desselben vorgesehen werden, um das Feld zu bilden. Es kann damit eine integrale Einheit aus Speicher- und Logikzellen gemeint sein. Alternativ befinden sie sich zumindest dicht beieinander. Die Anordnung der Funktions- und Speicherzellen in integrierter bzw. enger Nachbarschaft zueinander sorgt dafür, dass keine, jedenfalls keine signifikanten Latenzzeiten zwischen Ansteuerung und Dateneingang der angeforderten Information in der Funktionszelle auftreten, nur weil die Verbindungen zwischen den Zellen zu lang sind. Dies sei als „di- rekt" verstanden. Müssen Latenzzeiten berücksichtigt werden, so kann auch ein Pipelining in den Sequenzerstrukturen vorgesehen werden. Dies wird besonders wichtig bei sehr hoch getakteten Anordnungen. Es sei darauf hingewiesen, dass es ohne weiteres möglich ist, entsprechend hochfrequent getaktete Zelleinheiten vorzusehen, die wie im Stand der Technik per se bekannt, auch entsprechend schnell auf geeignete Speicherzellen zugreifen können. Auch in einem solchen Fall, etwa wenn per se bekannte Architekturelemente für die Funktionszellen verwendet werden, wird gleichzeitig eine Rekonfigurierbarkeit des Funktionszellenelementes und der zugehörigen Vernetzungen vorzusehen sein. In einer besonders bevorzugten Variante sind die Funktionszellen, die Informationsbereitstellungszellen wie Speicherzellen, I/O-Zellen und dergleichen multidi ensio- nal angeordnet, insbesondere nach Art einer Matrix bzw. auf Gitterpunkten eines eindimensionalen Gitters usw. Wenn eine regelmäßige Struktur vorliegt, wie dies dort der Fall ist, wird einer Zelle typisch aus einer ersten Reihe Information, das heißt Operanden, Konfigurationen, Triggersignale usw. zugeführt, während in einer darunterliegende Reihe Daten, Trig- gersignale und andere Informationen abgegeben werden. In einem solchen Fall wird es bevorzugt sein, wenn die Zellen in ein und derselben Reihe liegen und es kann dann der Informa- tionstransfer aus der Informationsbereitstellungszelle in den erforderlichen Eingang der Funktionszelle über ein Backward- Register erfolgen. Die Möglichkeit, die Register für Pipeli- ning zu benutzen, sei erwähnt.
Es wird weiter Schutz beansprucht für ein Verfahren zum Betrieb eines Zellelementefeldes, insbesondere multidimensiona- len Zellelementefeldes mit Funktionszellen zur Ausführung algebraischer und/oder logischer Funktionen und Informationsbe- reitstellungszellen, insbesondere Speicherzellen und/oder
Ein-Ausgabezellen zum Empfangen und/oder Ausgeben von Informationen und/oder Speichern derselben, wobei zumindest eine der Funktionszellen Steuerbefehle an zumindest eine Informa- tionsbereitstellungszelle ausgibt, dort im Ansprechen auf die Steuerbefehle Information für die Funktionszelle bereitgestellt wird und die Funktionszelle dazu ausgebildet ist, die weitere Datenverarbeitung im Ansprechen auf die bereitgestellte Information durchzuführen, um so zumindest zeitweise sequenzerartig Daten zu verarbeiten.
Es wird also in einem rekonfigurierbaren Feld durch die Ausgabe der Steuerbefehle an die Speicherzelle einer Sequenzerstruktur eine sequenzerartige Datenverarbeitung ermöglicht. Die Befehle, die als Steuerbefehle von der Funktionszelle ausgegeben werden können, ermöglichen dabei einen sequenzer- artigen Betrieb, wie er aus herkömmlichen Prozessoren bekannt ist. Es sei darauf hingewiesen, dass es ohne weiteres möglich ist, nur Teile der oben genannten Befehle zu implementieren und dennoch eine vollständig sequenzerartige Datenverarbei- tung zu gewährleisten. Die Erfindung wird im folgenden und beispielsweise anhand der Zeichnungen beschrieben. In dieser ist gezeigt durch:
Fig . 1 ein erfindungsgemäßes Zellelementefeld,
Fig . 2a ein Detail hiervon,
Fig . 2b, c das Detail von Fig. 2a während verschiedener
Datenverarbeitungs Zeiten,
Fig . 3 eine alternative Ausführungsform des Details von Fig. 2,
FFiigg.. 44 eine besonders bevorzugte Variante des
Details,
Fig . 5 ein Beispiel für die Funktionsfaltung auf eine Funktionszellen-Speicherzellen-Kombi- nation der Erfindung, Fig. 6a ein Beispiel einer sequenziell-parallelen
Datenverarbeitung, Fig. 6b ein besonders bevorzugtes Ausführungsbeispiel der Erfindung, Fig. 7 eine Alternative zu einer Funktionsfaltungs- einheit.
Nach Fig. 1 umfaßt ein allgemein mit 1 bezeichnetes Zellelementefeld zur Datenverarbeitung 1 Funktionszellmittel 2 zur Ausführung von arithmetischen und/oder logischen Funktionen sowie Speicherzellmittel 3, um Informationen zu empfangen, abzuspeichern und/oder auszugeben, wobei eine Steuerverbindung 4 von Funktionszellen 2 zu den Speicherzellen 3 geführt ist .
Das Zellelementefeld 1 ist in der Vernetzung der Elemente 2, 3, 4 frei konfigurierbar, und zwar ohne den laufenden Betrieb nicht neu zu konfigurierender Zeilelementeteile zu stören. Dabei können die Verbindungen konfiguriert werden, indem Bussysteme 5 wie erforderlich geschaltet werden. Weiter sind die Funktionszellen 2 in ihrer jeweiligen Funktion konfigurierbar. Bei den Funktionszellen handelt es sich um arithmetische Logikeinheiten, die um bestimmte, Rekonfiguration ermöglichende Schaltkreise erweitert sind, wie Statemachines, Schnittstellenbeschaltung zur Kommunikation mit der externen Ladelogik 6 usw. Auf die entsprechenden Voranmeldungen des Anmelders wird hingewiesen.
Die Zellelemente 2, 3 des Zellelementefeldes 1 sind zweidi- mensional in Reihen und Spalten angeordnet, wobei jeweils eine Speicherzelle 3 unmittelbar neben einer Funktionszelle 2 liegt und hier je Reihe drei Speicherzellen-Funktionszellen- Paare vorliegen, in denen die Funktions- und Speicherzellen jeweils über Steuerverbindungen 4 miteinander verbunden sind. Die Funktions- und Speicherzellen 2, 3, bzw. die Kombination dieser, weisen Eingänge auf, die mit dem Bussystem oberhalb der Reihe, in der sich die jeweiligen Zellelemente befinden, verbindbar sind, um Daten davon zu empfangen. Weiter weisen die Zellen 2, 3 Ausgänge auf, die auf das Bussystem 5 unterhalb der Reihe Daten ausgeben. Wie noch erläutert werden wird, ist überdies jede Speicherzelle 3 mit einem Rückwärtsregister (BW) versehen, durch welches Daten von dem Bus unterhalb einer Reihe auf den Bus oberhalb der jeweiligen Reihe durchgeschleust werden können.
Das Speicherzellmittel 3 weist zugleich bevorzugt wenigstens 3 Speicherbereiche auf, nämlich einen sogenannten Datenbe- reich, einen Programmspeicherbereich und eine Stackbereich usw. Es kann allerdings in anderen Varianten der Erfindung ausreichend sein, lediglich zwei Bereiche vorzusehen, nämlich einen Datenspeicher und einen Programmspeicherbereich, die jeweils Teil eines Speicherzellmittels bilden können. Es ist insbesondere möglich, nicht einfach eine Trennung eines per se homogenen und hardwareseitig identischen Speichers in un- terschiedliche Bereiche vorzunehmen, sondern tatsächlich physikalisch bzw. hardwaretechnisch getrennte Speicherbereiche vorzusehen. Dabei kann insbesondere auch eine Anpassung der Speicherbreite und/oder Tiefe an jeweilige Erfordernisse vorgesehen werden. Bei Auslegung eines Speichers dergestalt, dass er im Betrieb einen Programmbereich und einen Datenbereich aufweist, wird es bevorzugt sein, diesen Speicher bzw. Speicherbereich für den gleichzeitigen Zugriff auf Daten- und Programmspeicherbereiche auszubilden, etwa als Dual-Port- Memory. Es kann auch möglich sein, eng angekoppelte Speicher- bereiche, insbesondere innerhalb einer Speicherzellmittel- Funktionszellmittel-Kombination, die zu einem integrierten Bereich gebildet ist, als reinen Cache-Speicher vorzusehen, in den insbesondere Daten aus entfernteren Speicherplätzen für den schnellen Zugriff während der Datenverarbeitung vor- beladen werden.
Mit Ausnahme der Steuerverbindungen 4 und der zugeordneten Schaltungen innerhalb der Funktionszellen (ALU in Fig. 2) bzw. Speicherzellen (RAM in Fig. 2) handelt es sich bei dem Zellelementefeld zur Datenverarbeitung von Fig. 1 um ein herkömmliches Zellelementefeld, wie es bei rekonfigurierbaren Datenverarbeitunsanordnungen, beispielsweise einer VPU entsprechend der XPP-Technologie des Anmelders gebräuchlich und bekannt ist. Insbesondere kann das Zellelementefeld von Fig. 1 wie bekannt betrieben werden, weist also entsprechende Be- schaltungen zur Wave-Rekonfiguration, zum Debugging, Übertragen von Triggersignalen etc. auf. Erste Besonderheiten des Zellelementefeldes der vorliegenden Erfindung ergeben sich aus der Steuerverbindung 4 und der zugehörigen Beschaltung, die nachfolgend näher beschrieben werden wird mit Bezug auf die Fig. 2a-c. Es sei dabei erwähnt, dass während in Fig. 1 eine Steuerverbindung 4 stets von einem weiter links liegende Funktionszellenelement zu einer weiter rechts liegenden Speicherzelle geführt ist, und zwar nur und genau zu einer solchen Speicherzelle, es einleuchtenderweise möglich ist, auch für die Steuerleitungen eine kon- figurierbare Vernetzung vorzusehen, um entweder an anderer Stelle liegende Speicherzellen anzusprechen und/oder um ggf. mehr als eine Speicherzelle ansprechen zu können, wenn etwa in großem Umfange Speicherbedarf für Informationen besteht, die von den Speicherzellen zu empfangen, abzuspeichern und/oder auszugeben ist. Aus Gründen der Übersichtlichkeit wird aber in Fig. 1 und 2 lediglich auf fest vorgesehene einzelne Steuerverbindungen Bezug genommen, was das Verständnis der Erfindung wesentlich erleichtert. Die Steuerverbindung ist im übrigen erforderlichenfalls durch herkömmliche Leitun- gen, entsprechende Protokolle vorausgesetzt, substituierbar.
In Fig. 2 ist die Funktionszelle 2 als ALU und die Funktionszelle 3 als RAM bezeichnet. Oberhalb der Reihe, in der die Zellen liegen, verläuft der Bus 5a, der das bereits erwähnte Backward-Register 3a mit den Eingängen 3b der Speicherzelle und 2b der ALU verbindet. Das unterhalb der Reihe verlaufende Bussystem ist mit 5b bezeichnet und es sind von dem Bussystem 5a, 5b nur die relevanten Segmente gezeichnet. Es ist erkennbar, dass das Bussystem 5b alternativ Daten erhält aus einem Ausgang 2c der ALU 2, einem Ausgang 3c des RAM 3 und dass es Daten in den Eingang 3al des Backward-Registers führt. Die ALU 2 weist zugleich weitere Ein- und Ausgänge 2al, 2a2 auf, die auf andere Bussegmente geschaltet sein können und über welche die ALU Daten wie Operanden empfängt bzw. Ergebnisse ausgibt.
Die Steuerverbindung 4 befindet sich dauerhaft unter der Kontrolle der erweiterten Schaltkreise der ALU und stellt hier eine Verbindung dar, über welche eine Vielzahl von Bits übertragen werden kann. Die Breite der Steuerverbindung 4 ist da- bei so gewählt, dass zumindest die folgenden Steuerbefehle an die Speicherzelle übertragen werden können: DATA WRITE, DATA READ, ADRESSPOINTER WRITE, ADRESSPOINTER READ, PROGRAMMPOINTER WRITE, PROGRAMMPOINTER READ, PROGRAMMPOINTER INCREMENT, STACKPOINTER WRITE, STACKPOINTER READ, PUSH, POP. Die Spei- cherzelle 3 weist zugleich wenigstens drei Speicherbereiche auf, nämlich einen sog. Stack-Bereich, einen Heap-Bereich und einen Programm-Bereich. Jedem Bereich ist dabei ein eigener Zeiger zugeordnet, über den bestimmt ist, auf welchen Bereich des Stacks, des Heaps und des Programmbereiches jeweils le- send oder schreibend zugegriffen wird.
Der Bus 5a wird im Zeitmultiplex gemeinsam von den Einheiten 2 und 3 verwendet. Dies ist in den Fig. 2b, 2c angedeutet. So ist in Fig. 2b eine Situation gezeigt, in welcher aus dem Ausgang 2a2 der ALU-PAE Daten über das Backward-Register auf den Eingang der RAM-Zelle gesandt werden können, wohingegen der zeitgleich bestehenden, wenngleich nicht benutzten Verbindung zwischen dem Ausgang 3c des RAM zum Bus 5b und der Verbindung zwischen dem Ausgang des Backward-Registers BW zum Eingang 2b der ALU-PAE zum Zeitpunkt von Fig. 2b keine Bedeutung zukommt, weshalb diese gestrichelt angedeutet sind. In Fig. 2c ist hingegen ein Zeitpunkt gezeigt, zu welchem die Speicherzelle 3 über ihren Ausgang 3c aus dem über Steuerleitung 4 bestimmten Speicherbereich Stack, Heap oder Program die Information über das Backward-Register an den Eingang 2b der ALU-PAE 2 speist, während der Ausgang der ALU-PAE 2c in- aktiv ist und am Eingang 3b der RAM-PAE kein Signal empfangen wird. Aus diesem Grund sind die entsprechenden Verbindungen strichpunktiert und somit als inaktiv dargestellt.
Innerhalb der RAM-Zelle 3 ist eine Schaltung 3d vorgesehen, in der die über die Steuerleitung 4 bzw. das Steuerleitungs- bussegment 4 empfangene Information decodiert wird.
Die Erfindung wird verwendet wie folgt:
Zunächst empfängt die ALU 2 Konfigurationsinformation von einer zentralen Ladelogik, wie im Stand der Technik bereits bekannt. Die Informationsübertragung kann in per se bekannter Weise unter Verwendung des RDY/ACK-Protokolls und dergleichen geschehen. Auf die Möglichkeit, bei der Ladelogik einen FIL- MO-Speicher usw. vorzusehen, um eine ordnungsgemäße Konfigu-" ration der Anordnung zu ermöglichen, wird hingewiesen.
Mit den Daten für die Konfiguration der ALU 2 wird zugleich eine Reihe von Daten aus der Ladelogik übertragen, die ein sequenzerartig abzuarbeitendes Programm bzw. Programmteil darstellt. Verwiesen wird hierzu nur beispielhaft auf Fig. 6a, bei welcher die HUFFMANN-Codierung als zentraler sequen- zieller Teil einer per se datenflussartig erfolgenden MPEG4- Codierung dargestellt ist. Die ALU gibt deshalb während ihrer Konfiguration auf der Leitung 4 einen entsprechenden Befehl aus, der den Programmzeiger zum Schreiben auf einen vorgegebenen Wert innerhalb des RAM setzt. Danach werden von der Ladelogik bei der ALU empfangene Daten über den Ausgang 2c über den Bus 5bl und das Backward-Register 3a gespeist und gelangen von dort in den Eingang 3b der RAM-PAE 3. Von der Einheit 3d werden entsprechend des Steuerbefehls auf Steuer- leitung 4 dann Daten auf den angewiesenen Programmspeicherplatz geschrieben. Dies wiederholt sich, bis sämtliche, von der Ladelogik bei der Konfiguration empfangenen Programmteile in der Speicherzelle 3 abgelegt sind. Wenn dann die Konfiguration der ALU beendet ist, wird diese durch Ausgabe der ent- sprechenden Befehle auf der Steuerleitung 4 die nächsten, von ihr sequenzerartig abzuarbeitenden Programmschritte anfordern und über den Ausgang 3c, den Bus 5b, das Backward-Register der RAM-PAE 3 und den Bus 5a an ihrem Eingang empfangen. Während der Programmabarbeitung können dabei Situationen auftre- ten, bei denen Sprünge innerhalb des Programmspeicherbereiches erforderlich sind, Daten in die ALU-PAE aus der RAM-PAE geladen werden, Daten im Stack abgelegt werden müssen usw. Die diesbezügliche Kommunikation zwischen ALU-PAE und RAM-PAE erfolgt über die Steuerleitung 4, so dass die ALU-PAE zu je- dem Zeitpunkt die Dekodierung durchführen kann. Überdies können auch, wie bei einem herkömmlichen Mikroprozessor, Daten aus einem Stack oder einem anderen RAM-Speicherbereich empfangen werden und es können überdies Daten von außerhalb als Operanden in der ALU-PAE empfangen werden.
Es findet dabei die Abarbeitung der Programmsequenz statt, die in den RAM-PAE durch die Ladelogik vorkonfiguriert wurde. In der ALU-PAE wird dabei zugleich, wie per se erforderlich, eine Befehlsdecodierung vorgenommen. Dies geschieht mit den per se gleichen Schaltkreisen, die schon für die Decodierung der von der Ladelogik erhaltenen Befehle verwendet werden. Es wird über die ALU zu jedem Zeitpunkt die Steuerleitung 4 kontrolliert, dass die RAM-Zelle stets genau die Art des Speicherzugriffes befolgt, die von der ALU vorgegeben ist. Auf diese Weise ist sichergestellt, dass ungeachtet der Zeit- multiplex-Benutzung der Buselemente 5a, b jederzeit den in der Sequenzerstruktur vorhandenen Elementen vorgegeben ist, ob auf den Bussen Adressen für zu holende und/oder zu schreibende Daten oder Codes liegen oder ob und gegebenenfalls wohin Daten zu schreiben sind etc.
Die in Bezug auf Fig. 2 gezeigte Anordnung kann auf unterschiedliche Arten erweitert oder verändert werden. Besonders relevant sind die in Fig. 3, 4 und 6 dargestellten Varianten.
Nach Fig. 3 ist zur Verbindung von oberen und unteren Bussen nicht nur ein Backward-Register an der RAM-PAE vorgesehen, sondern es sind auch ein Vorwärts-Register an der RAM-PAE und Vorwärts- und Rückwärts-Register an der ALU-PAE vorhanden. Diese können, wie durch die Mehrfach-Pfeile angedeutet, dazu dienen, von anderen Einheiten, wie externen Hosts, externen Peripherie-Geräten wie Festplatten, Hauptspeicher und dergleichen und/oder von anderen Sequenzerstrukturen, PAEs, RAM- PAEs etc. Daten zu empfangen und an diese zu senden. Wenn ein entsprechender Anforderungsbefehl für neue Programmteile aus der Sequenzerstruktur, die durch die ALU-PAE und die RAM-PAE gebildet ist, abgesandt wird, ist es möglich, Programmblöcke in der Sequenzerstruktur abzuarbeiten, die weit größer sind als jene, die in der RAM-PAE speicherbar sind. Dies ist insbesondere bei komplexen Datenverarbeitungsaufgaben, Sprüngen über weite Bereiche, insbesondere in Unterprogramme usw. von massivem Vorteil. Eine noch weiter bevorzugte Variante ist in Fig. 4 gezeigt. Hier kommuniziert die ALU-PAE nicht nur mit einer RAM-PAE, sondern zugleich mit einer Input/Output-PAE, die dazu ausgebildet ist, eine Schnittstellenbeschaltung für die Kommunika- tion mit externen Bauelementen vorzusehen, wie Festplatten, anderen XPP-VPUs, fremden Prozessoren und Coprozessoren usw. Wiederum ist die ALU-PAE die Einheit, die als Master für die als „CMD" bezeichnete Steuerverbindung arbeitet und wiederum werden die Busse in Multiplex-Weise verwendet. Auch hier kann eine Übertragung von Daten von dem Bus unterhalb der Reihe in den Bus oberhalb der Reihe durch das Backward-Register erfolgen.
Die in Fig. 4 gezeigte Anordnung ermöglicht es, externe Zu- griffe auf nicht in der Speicherzelle RAM-PAE abspeicherbare Informationen besonders leicht zu gestalten und ermöglicht damit eine Anpassung der Sequenzerstruktur an bestehende, herkömmliche CPU-Technologien und deren Betriebsverfahren in noch stärkerem Maße insoweit, als nun in der Eingabe- Ausgabezelle Adressübersetzungsmittel, Speicherverwaltungseinheiten (MMU-Funktionen) und dergleichen implementiert sein können. Die RAM-PAE kann hier etwa als Cache, insbesondere aber als vorgeladener Cache dienen.
Es sei darauf hingewiesen, dass mehrere Sequenzerstrukturen gleichzeitig in ein und dasselbe Feld hineinkonfiguriert werden können, dass Funktionszellen, Speicherzellen und ggf. Ein-Ausgabezellen wahlweise für Sequenzerstrukturen und/oder eine für die XPP-Technologie herkömmliche Weise konfiguriert werden können und dass es ohne weiteres möglich ist, dass eine ALU an eine andere ALU Daten ausgibt, die diese in einer Sequenzer-Weise konfigurieren und/oder zum Teil eines Zellel- mentefeldes machen, mit dem eine bestimmte Konfiguration abgearbeitet wird. Auf diese Weise wird dann gegebenenfalls auch die Ladelogik entbehrlich.
Nach Fig. 6 sind zwei Ausführungsformen der Erfindung in ein und demselben Zellelementefeld vereinigt, nämlich an den Rändern aus jeweils zwei PAEs, nämlich je einer RAM- und einer ALU-PAE gebildete Sequenzer, und im Inneren mit integrierten RAM-ALU-PAEs als integrierten Funktionszellen-Speicherzellen- einheiten gebildete Sequenzer, wobei es möglich ist, nur einen Teil der feldinneren Zellen als Kombinationszellen zu bilden.
Fig. 5 zeigt rechts (Fig. 5c) eine Funktionszell-Speicher- zellmittel-Kombination.
Gemäß Fig. 5c umfasst eine allgemein mit 50 bezeichnete Funk- tionszell-Speicherzellmittel-Kombination Busverbindungen bzw. -eingänge 51 für den Eingang von Operanden- und Konfigurati- onsdaten sowie hier wie insbesondere bevorzugt möglich auch Triggersignale (nicht gezeigt) und dergleichen und einen Busausgang 52 für die Ausgabe entsprechender Daten bzw. Signale. Innerhalb der Funktionszellmittel-Speicherzellmittel- Kombination ist eine ALU 53 vorgesehen, sowie Eingangsregi- ster RiO bis Ri3 für Operandendaten und Triggersignal-Eingangsregister (nicht gezeigt) . Die Konfigurationsdatenregister RcO bis Rc7 für Konfigurationsdaten bzw. ALU-Opcode- Daten, Resultatdatenregister RdO^-RS und Ausgangsregister RoO bis Ro3 für Resultate bzw. auszugebende Triggersignale. Die Register Rc und Rd für die Konfigurationsdaten bzw. Op- code-Daten werden von der ALU 53 über Steuerbefehlsleitungen 4 angesteuert und speisen über geeignete Datenleitungen Daten in die ALU bzw. empfangen aus dieser Resultatdaten. Es ist weiter möglich, vom Bus 51 bzw. den Eingangsregistern Ri Information direkt auf die Ausgangsregister bzw. den Bus 52 zu speisen, genau so wie aus den Datenregistern RdO Informationen nicht nur an die ALU, sondern auch an die Ausgangsregister gespeist werden können. Erforderlichenfalls können Verbindungen zwischen den Speicherbereichen Rd und Rc vorgesehen werden, etwa zur Realisierung der Möglichkeit selbstmodifizierenden Codes.
Der Konfigurationsdatenbereich RcO bis Rc7 weist eine Steuerung auf, die es erlaubt, auf Teilen des Bereiches zu arbeiten, und zwar insbesondere wiederholt zyklisch und/oder durch Sprünge. Dies erlaubt es, beispielsweise in einer ersten Teilkonfiguration Befehle, die in RcO bis Rc3 liegen, wiederholt abzuarbeiten und, alternativ, etwa auf Eingang eines entsprechenden anderen Triggersignales über die Busleitung 51, Konfigurationsbefehle abzuarbeiten, die in Rc4 bis Rc7 liegen. Damit ist eine Ausführbarkeit einer Wave-Konfigu- ration gewährleistet. Es sei darauf hingewiesen, dass die abgelegten Konfigurationsbefehle typisch lediglich Anweisungen an die ALU darstellen, nicht jedoch vollständige Busverbindungen usw. definieren.
Die in Fig. 5 dargestellte, vorbeschriebene Einheit ist hier dazu ausgebildet, mit dem vierfachen Takt betrieben zu werden, wie eine normale PAE ohne Speicherzellmittel und/oder Steuersignalleitungen 4.
Um auf der so gebildeten Funktionsfaltungseinheit (function- folding-unit) sequenzerartig Daten in einem Datenfluss abzuarbeiten, werden zu vorgegebenen Algorithmen zunächst Daten- flussgraphen bzw. -bereiche gemäß Fig. 5a erstellt. Dann werden jeder in dem Graph abzuarbeitenden Operation Speicherbereiche RcO zugewiesen, die in den Graph-Teilbereich einströmenden Daten internen Eingangsregistern RiO zugewiesen, die Zwischenresultate den Speichern RdO bis Rd3 zugewiesen und die Ausgabeergebnisse den Registern Ro. Mit dieser Zuweisung wird der Graphbereich auf der Function-Folding-Unit abarbeitbar. Es erfolgt quasi eine Datenfluss-Sequenzer-Transforma- tion durch diese Hardware.
Es sei in diesem Zusammenhang erwähnt, daß es ganz allgemein bevorzugt sein wird, die Anordnung der vorliegenden Erfindung dergestalt zu verwenden, daß zunächst für ein Datenverarbeitungsprogramm mit einem Compiler ein Datenfluß- und ein Kon- trollflußgraph erstellt wird, um dann eine entsprechende Par- titionierung vorzunehmen, wobei die durch die Partitionierung erhaltenen Stücke dann ganz oder teilweise zur Abarbeitung auf Sequenzer-Einheiten, wie sie z.B. gemäß der vorliegenden Erfindung gebildet sein können, abgearbeitet werden können. Auf diese Weise wird quasi eine datenflußartige Datenverarbeitung bei Fortschreiten von einer Zelle zur nächsten erzielt, aber innerhalb der Zelle (n) eine sequentielle Abarbeitung bewirkt. Dies ist vorteilhaft, wenn aufgrund der sehr hohen Rechenleistung einer Anordnung die Taktfrequenz erhöht werden soll, um im Gegenzug die Fläche bzw. Anzahl der Zellen reduzieren zu können. Es sei hierbei auch erwähnt, daß es möglich ist, diesen transformationsartigen Übergang von einer einer rein datenflußartigen Daten-Verarbeitung zu einer Da- tenflußverarbeiturtg mit lokalsequentiellen Teilen dergestalt vorzunehmen, daß ein iterativer Prozeß durchlaufen wird, etwa dergestalt, daß zunächst eine erste Partitionierung vorgenommen wird und dann, sollte bei dem nachfolgen- den„Zusammenrollen" der partitionierten Teile auf Sequen- zereinheiten festgestellt werden, daß zB die auf den Sequen- cern oder sonstigen Stellen verfügbaren Ressourcen nicht ausreichen, eine andere, dies berücksichtigende Partitionierung und ein neuerliches „Zusammenrollen" vorzunehmen. Bei gewünscht intensiver Nutzung der Function-Folding-Units kann die Registeranzahl gegebenenfalls erhöht werden.
Es sei auch darauf hingewiesen, dass vorliegend die Register als Speicherzellmittel oder Teile derselben aufgefasst werden. Es ist einsichtig, dass durch Vergrößerung der Speicherzellbereiche komplexere Aufgaben insbesondere auch sequenzer- artig angeordnet werden können, dass aber mit den angegebenen geringen Größen schon wesentliche Teile wichtiger Algorithmen abgearbeitet werden können und zwar mit hoher Effizienz.
Im vorliegenden Beispiel werden die Funktionsfaltungseinhei- ten bevorzugt so gebildet, dass Daten durch diese hindurch geschaltet werden können, ohne in der ALU verarbeitet zu wer- den. Dies kann ausgenutzt werden, um ein Pfadbalancing zu erreichen, bei dem etwa Datenpakete über unterschiedliche Zweige verarbeitet und dann (wieder) zusammengeführt werden müssen, ohne dass Forward-Register, wie sie aus der Architektur des Anmelders bekannt sind, eingesetzt werden müssen. Zu- gleich und/oder alternativ ist es möglich, die Datenfluss- richtung im Zellelementefeld durch entsprechende Ausrichtung von einigen Funktionszellmitteln, Speicherzellmitteln, Funk- tionsfaltungseinheiten nicht streng in eine Richtung laufen zu lassen, sondern in zwei entgegengesetzte Richtungen. So erhalten z. B. in jeder geraden Reihe die ALUs ihre Eingangsoperanden von der linken Seite her und in jeder ungeraden Reihe erhalten die ALUs ihre Eingangsoperanden von rechts. Wenn Daten mehrfach durch das Feld gesandt werden müssen, ist eine solche Anordnung vorteilhaft, etwa bei ausgewalzten Schleifenkörpern usw. Die alternierende Anordnung muss dabei auch nicht streng sein. Für bestimmte Anwendungen können an- dere Geometrien gewählt werden. So könnte in der Feldmitte eine andere Laufrichtung als an den Rändern gewählt werden usw. Die Anordnung von Funktionszelleneinheiten gleicher Laufrichtung nebeneinander kann bezüglich der Busverbindungen vorteilhaft sein. Es sei darauf hingewiesen, dass die gegen- läufige Anordnung von mehreren gerichteten Funktionszellen in einem Feld und die sich damit ergebende verbesserte Datenverarbeitung unabhängig vom Vorsehen einer Steuerleitung oder dergleichen als erfinderisch betrachtet wird.
Eine Alternative zu der in Fig. 5 gezeigten Funktionsfal- tungseinheit ist in Fig. 7 gezeigt.

Claims

Patentansprüche
1. Zellementefeld zur Datenverarbeitung mit Funktionszell- mittein zur Ausführung algebraischer und/oder logischer
Funktionen und Speicherzellmitteln, um Information zu empfangen, abzuspeichern und/oder auszugeben, dadurch gekennzeichnet, dass Funktionszellen-Speicherzellen-Kombinationen gebildet sind, bei denen von den Funktionszell- mittein eine Ξteuerverbindung zu den Speicherzellmitteln geführt ist.
2. Zellementefeld nach dem vorhergehenden Anspruch, dadurch gekennzeichnet, dass ein Prozessor, Coprozessor und/oder Microcontroller mit einer Vielzahl in Funktion und/oder Vernetzung rekonfigurierbarer und/oder vorgebbarer Einheiten wie Funktionszellen und/oder Speicherzellen bildet.
3. Zellementefeld nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Funktionszellen als arithmetische Logikeinheiten gebildet sind.
4. Zellementefeld nach dem vorhergehenden Anspruch, dadurch gekennzeichnet, dass die arithmetischen Logikeinheiten als erweiterte ALUs gebildet sind.
5. Zellementefeld nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Speicherzellen zur flüchtigen und/oder nicht flüchtigen Datenspeicherung ausgebildet sind.
6. Zellementefeld nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Speicherzellen zur Abspeicherung von zu verarbeitenden Daten und/oder von zu verarbeitenden Programmschritten ausgebildet sind.
7. Zellementefeld zur Datenverarbeitung, dadurch gekennzeichnet, dass die Speicherzellen dazu ausgebildet sind, abgespeicherte Informationen auf Ansteuerung der sie steuernden Funktionszelle direkt und/oder indirekt auf einen zur Funktionszelle führenden Bus zu geben.
8. Zellementefeld nach einem der vorhergehenden Ansprüche, worin zumindest einer Speicherzelle und/oder Funktionszelle Register zugeordnet sind, insbesondere ein Back- ward-Register, welches im Informationsweg zwischen Speicherzelle und Funktionszelle angeordnet ist.
9. Zellementefeld nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Speicherzelle dazu ange- ordnet ist, Informationen von der sie steuernden Funktionszelle, einer Eingabe-Ausgabe-Zelle und/oder einer sie nicht steuernden Zelle mit arithmetischer Logikeinheit zu empfangen.
10. Zellementefeld nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass der Funktionszellen- Speicherzellen-Kombination zumindest ein Ein-Ausgabe- Mittel zugeordnet ist, um Informationen an eine externe Einheit und/oder eine andere Funktionszelle, Funktions- zellen-Speicherzellen-Kombination und/oder Speicherzelle zu senden und/oder von dieser zu empfangen.
11. Zellementefeld nach dem vorhergehenden Anspruch, dadurch gekennzeichnet, dass das Ein-Ausgabe-Mittel gleichfalls zum Empfang von Steuerbefehlen aus der Funktionszelle ausgebildet ist.
12. Zellementefeld nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Steuerung dazu ausgebildet ist, zumindest einige, bevorzugt alle der nachfolgenden Befehle zu übertragen und/oder die Speicherzelle bzw. Eingabe/Ausgabe-Zelle dazu ausgebildet ist, die folgenden Befehle zu dekodieren: DATA WRITE/READ, ADRESSPOINTER WRITE/READ, PROGRAMMPOINTER WRITE/READ, PROGRAMMPOINTER INCREMENT, STACKPOINTER WRITE/READ, vorgenannte Befehle jeweils insbesondere für internen und/oder externen Zu- griff, PUSH, POP, OPCODE, FETCH.
13. Zellementefeld nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Funktionszelle als alleiniger Master auf die Steuerverbindung und/oder das als Steuerungsverbindung dienende Bussegment zugreifen kann.
14. Zellementefeld zur Datenverarbeitung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Funktionszelle zumindest einer von Speicherzelle und Ein- Ausgabezelle benachbart angeordnet ist.
15. Zellementefeld nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Zellelemente multidimen- sional angeordnet sind, insbesondere matrixartig, wobei die Funktionszelle und/oder die benachbarte Speicherbzw. Ein-Ausgabezelle aus einer oberen Reihe Daten empfangen und in eine untere Reihe Daten ausgeben kann, wo- bei in einer Reihe Busse vorgesehen sind und die Funktionszelle und zumindest eine Speicher- und/oder Ein- Ausgabezelle in ein und derselben Reihe liegen.
16. Verfahren zum Betrieb eines Zellelementefeldes, insbesondere multidimensionalen Zellelementefeldes mit Funktionszellen zur Ausführung algebraischer und/oder logischer Funktionen und Informationsbereitstellungszellen, insbesondere Speicherzellen und/oder Ein-Ausgabezellen zum Empfangen und/oder Ausgeben von Informationen und/oder
Speichern derselben, dadurch gekennzeichnet, dass zumindest eine der Funktionszellen Steuerbefehle an zumindest eine Informationsbereitstellungszelle ausgibt, dort im Ansprechen auf die Steuerbefehlinformation für die Funk- tionszelle verarbeitet wird und die Funktionszelle dazu ausgebildet ist, eine weitere Datenverarbeitung im Ansprechen auf aus der Informationsbereitstellungszelle bereitgestellte Information durchzuführen, um so sequenzer- artig Daten zu verarbeiten.
17. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Funktionszelle dazu ausgebildet ist, zumindest einige der Steuerbefehle OPCODE FETCH, DATA WRITE INTERN, DATA WRITE EXTERN, DATA READ INTERN, DATA READ EXTERN, ADRESSPOINTER WRITE INTERN, ADRESSPOINTER WRITE EXTERN, ADRESSPOINTER READ INTERN, ADRESSPOINTER READ EXTERN, PROGRAMMPOINTER WRITE INTERN,
PROGRAMMPOINTER WRITE EXTERN,
PROGRAMMPOINTER READ INTERN,
PROGRAMMPOINTER READ EXTERN, STACKPOINTER WRITE INTERN,
STACKPOINTER WRITE EXTERN,
STACKPOINTER READ INTERN,
STACKPOINTER READ EXTERN,
PUSH, POP,
PROGRAMMPOINTER INCREMENT ausgibt und im Laufe des Zellementbetriebs zumindest einige, insbesondere alle der oben genannten Steuerbefehle wie erforderlich ausgibt.
PCT/EP2003/009957 2002-09-06 2003-09-08 Rekonfigurierbare sequenzerstruktur WO2004038599A1 (de)

Priority Applications (10)

Application Number Priority Date Filing Date Title
US10/526,595 US7394284B2 (en) 2002-09-06 2003-09-08 Reconfigurable sequencer structure
AU2003289844A AU2003289844A1 (en) 2002-09-06 2003-09-08 Reconfigurable sequencer structure
JP2004545763A JP4388895B2 (ja) 2002-09-06 2003-09-08 リコンフィギュアラブルなシーケンサ構造
EP03782172A EP1537486A1 (de) 2002-09-06 2003-09-08 Rekonfigurierbare sequenzerstruktur
US12/082,073 US7602214B2 (en) 2002-09-06 2008-04-07 Reconfigurable sequencer structure
US12/541,299 US7782087B2 (en) 2002-09-06 2009-08-14 Reconfigurable sequencer structure
US13/626,047 US8803552B2 (en) 2002-09-06 2012-09-25 Reconfigurable sequencer structure
US14/458,099 US9274984B2 (en) 2002-09-06 2014-08-12 Multi-processor with selectively interconnected memory units
US15/052,730 US9817790B2 (en) 2002-09-06 2016-02-24 Multi-processor with selectively interconnected memory units
US15/811,192 US10296488B2 (en) 2002-09-06 2017-11-13 Multi-processor with selectively interconnected memory units

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
DE2002141812 DE10241812A1 (de) 2002-09-06 2002-09-06 Rekonfigurierbare Sequenzerstruktur
DE10241812.8 2002-09-06
DE10315295.4 2003-04-04
DE10315295 2003-04-04
DE10321834 2003-05-15
DE10321834.3 2003-05-15
EP03019428.6 2003-08-28
EP03019428 2003-08-28

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US10/526,595 A-371-Of-International US7394284B2 (en) 2002-09-06 2003-09-08 Reconfigurable sequencer structure
US12/082,073 Continuation US7602214B2 (en) 2002-09-06 2008-04-07 Reconfigurable sequencer structure

Publications (1)

Publication Number Publication Date
WO2004038599A1 true WO2004038599A1 (de) 2004-05-06

Family

ID=32180554

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2003/009957 WO2004038599A1 (de) 2002-09-06 2003-09-08 Rekonfigurierbare sequenzerstruktur

Country Status (5)

Country Link
US (9) US7394284B2 (de)
EP (1) EP1537486A1 (de)
JP (1) JP4388895B2 (de)
AU (1) AU2003289844A1 (de)
WO (1) WO2004038599A1 (de)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9092595B2 (en) 1997-10-08 2015-07-28 Pact Xpp Technologies Ag Multiprocessor having associated RAM units
JP2003505753A (ja) 1999-06-10 2003-02-12 ペーアーツェーテー インフォルマツィオーンステヒノロギー ゲゼルシャフト ミット ベシュレンクテル ハフツング セル構造におけるシーケンス分割方法
DE50115584D1 (de) * 2000-06-13 2010-09-16 Krass Maren Pipeline ct-protokolle und -kommunikation
US8058899B2 (en) 2000-10-06 2011-11-15 Martin Vorbach Logic cell array and bus system
US9141390B2 (en) 2001-03-05 2015-09-22 Pact Xpp Technologies Ag Method of processing data with an array of data processors according to application ID
US9436631B2 (en) 2001-03-05 2016-09-06 Pact Xpp Technologies Ag Chip including memory element storing higher level memory data on a page by page basis
US9250908B2 (en) 2001-03-05 2016-02-02 Pact Xpp Technologies Ag Multi-processor bus and cache interconnection system
US9037807B2 (en) * 2001-03-05 2015-05-19 Pact Xpp Technologies Ag Processor arrangement on a chip including data processing, memory, and interface elements
US9552047B2 (en) 2001-03-05 2017-01-24 Pact Xpp Technologies Ag Multiprocessor having runtime adjustable clock and clock dependent power supply
US9411532B2 (en) 2001-09-07 2016-08-09 Pact Xpp Technologies Ag Methods and systems for transferring data between a processing device and external devices
US7624204B2 (en) * 2001-03-22 2009-11-24 Nvidia Corporation Input/output controller node in an adaptable computing environment
US10031733B2 (en) 2001-06-20 2018-07-24 Scientia Sol Mentis Ag Method for processing data
US7594229B2 (en) * 2001-10-09 2009-09-22 Nvidia Corp. Predictive resource allocation in computing systems
US7644279B2 (en) * 2001-12-05 2010-01-05 Nvidia Corporation Consumer product distribution in the embedded system market
US9170812B2 (en) 2002-03-21 2015-10-27 Pact Xpp Technologies Ag Data processing system having integrated pipelined array data processor
US7093255B1 (en) * 2002-05-31 2006-08-15 Quicksilver Technology, Inc. Method for estimating cost when placing operations within a modulo scheduler when scheduling for processors with a large number of function units or reconfigurable data paths
US7620678B1 (en) 2002-06-12 2009-11-17 Nvidia Corporation Method and system for reducing the time-to-market concerns for embedded system design
US7802108B1 (en) 2002-07-18 2010-09-21 Nvidia Corporation Secure storage of program code for an embedded system
EP1537486A1 (de) 2002-09-06 2005-06-08 PACT XPP Technologies AG Rekonfigurierbare sequenzerstruktur
US7502915B2 (en) * 2002-09-30 2009-03-10 Nvidia Corporation System and method using embedded microprocessor as a node in an adaptable computing machine
US8949576B2 (en) * 2002-11-01 2015-02-03 Nvidia Corporation Arithmetic node including general digital signal processing functions for an adaptive computing machine
US7617100B1 (en) 2003-01-10 2009-11-10 Nvidia Corporation Method and system for providing an excitation-pattern based audio coding scheme
US9330060B1 (en) * 2003-04-15 2016-05-03 Nvidia Corporation Method and device for encoding and decoding video image data
US8296764B2 (en) * 2003-08-14 2012-10-23 Nvidia Corporation Internal synchronization control for adaptive integrated circuitry
US8018463B2 (en) * 2004-05-10 2011-09-13 Nvidia Corporation Processor for video data
US8130825B2 (en) * 2004-05-10 2012-03-06 Nvidia Corporation Processor for video data encoding/decoding
TWI256013B (en) * 2004-10-12 2006-06-01 Uli Electronics Inc Sound-effect processing circuit
US8731071B1 (en) 2005-12-15 2014-05-20 Nvidia Corporation System for performing finite input response (FIR) filtering in motion estimation
US7999820B1 (en) 2006-10-23 2011-08-16 Nvidia Corporation Methods and systems for reusing memory addresses in a graphics system
US20080111923A1 (en) * 2006-11-09 2008-05-15 Scheuermann W James Processor for video data
US7761728B2 (en) * 2007-01-23 2010-07-20 International Business Machines Corporation Apparatus, system, and method for resetting an inter-integrated circuit data line with a clock line
US8169789B1 (en) 2007-04-10 2012-05-01 Nvidia Corporation Graphics processing unit stiffening frame
US7987065B1 (en) 2007-04-17 2011-07-26 Nvidia Corporation Automatic quality testing of multimedia rendering by software drivers
US8572598B1 (en) 2007-04-18 2013-10-29 Nvidia Corporation Method and system for upgrading software in a computing device
US8756482B2 (en) 2007-05-25 2014-06-17 Nvidia Corporation Efficient encoding/decoding of a sequence of data frames
US8726283B1 (en) 2007-06-04 2014-05-13 Nvidia Corporation Deadlock avoidance skid buffer
US7944453B1 (en) 2007-06-07 2011-05-17 Nvidia Corporation Extrapolation texture filtering for nonresident mipmaps
US7948500B2 (en) * 2007-06-07 2011-05-24 Nvidia Corporation Extrapolation of nonresident mipmap data using resident mipmap data
US9118927B2 (en) 2007-06-13 2015-08-25 Nvidia Corporation Sub-pixel interpolation and its application in motion compensated encoding of a video signal
US8873625B2 (en) 2007-07-18 2014-10-28 Nvidia Corporation Enhanced compression in representing non-frame-edge blocks of image frames
US9081901B2 (en) * 2007-10-31 2015-07-14 Raytheon Company Means of control for reconfigurable computers
US8035414B2 (en) * 2008-04-11 2011-10-11 Massachusetts Institute Of Technology Asynchronous logic automata
US8359479B2 (en) * 2008-07-17 2013-01-22 Lsi Corporation High performance arithmetic logic unit (ALU) for cryptographic applications with built-in countermeasures against side channel attacks
GB2474250B (en) * 2009-10-07 2015-05-06 Advanced Risc Mach Ltd Video reference frame retrieval
US8838120B2 (en) 2011-06-06 2014-09-16 Ericsson Modems Sa Methods and systems for a generic multi-radio access technology
US8782161B2 (en) * 2011-06-30 2014-07-15 Oracle International Corporation Method and system for offloading computation flexibly to a communication adapter
US10534608B2 (en) * 2011-08-17 2020-01-14 International Business Machines Corporation Local computation logic embedded in a register file to accelerate programs
US8476926B1 (en) * 2012-02-08 2013-07-02 Altera Corporation Method and apparatus for implementing periphery devices on a programmable circuit using partial reconfiguration
US9160617B2 (en) 2012-09-28 2015-10-13 International Business Machines Corporation Faulty core recovery mechanisms for a three-dimensional network on a processor array
US8990616B2 (en) * 2012-09-28 2015-03-24 International Business Machines Corporation Final faulty core recovery mechanisms for a two-dimensional network on a processor array
US9553590B1 (en) * 2012-10-29 2017-01-24 Altera Corporation Configuring programmable integrated circuit device resources as processing elements
CN103853620B (zh) 2012-11-30 2017-06-09 华为技术有限公司 一种众核处理器进程间相互通信的方法、装置及系统
JP2016178229A (ja) 2015-03-20 2016-10-06 株式会社東芝 再構成可能な回路
US10242728B2 (en) * 2016-10-27 2019-03-26 Samsung Electronics Co., Ltd. DPU architecture
US10732866B2 (en) 2016-10-27 2020-08-04 Samsung Electronics Co., Ltd. Scaling out architecture for DRAM-based processing unit (DPU)
KR102424962B1 (ko) 2017-11-15 2022-07-25 삼성전자주식회사 병렬 연산 처리를 수행하는 메모리 장치 및 이를 포함하는 메모리 모듈
US11803507B2 (en) 2018-10-29 2023-10-31 Secturion Systems, Inc. Data stream protocol field decoding by a systolic array
CN111737151B (zh) * 2020-07-27 2020-11-20 深圳诚一信科技有限公司 一种软件交互测试系统

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19651075A1 (de) * 1996-12-09 1998-06-10 Pact Inf Tech Gmbh Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPU's), Mehrrechnersystemen, Datenflußprozessoren (DFP's), digitalen Signal Prozessoren (DSP's) oder dergleichen
WO1999000739A1 (en) * 1997-06-27 1999-01-07 Chameleon Systems, Inc. An integrated processor and programmable data path chip for reconfigurable computing
US6092174A (en) * 1998-06-01 2000-07-18 Context, Inc. Dynamically reconfigurable distributed integrated circuit processor and method
WO2000077652A2 (de) * 1999-06-10 2000-12-21 Pact Informationstechnologie Gmbh Sequenz-partitionierung auf zellstrukturen

Family Cites Families (744)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2067477A (en) 1931-03-20 1937-01-12 Allis Chalmers Mfg Co Gearing
US2748872A (en) 1954-10-04 1956-06-05 Madge Johnston Well tool anchoring device
GB971191A (en) 1962-05-28 1964-09-30 Wolf Electric Tools Ltd Improvements relating to electrically driven equipment
US3473160A (en) 1966-10-10 1969-10-14 Stanford Research Inst Electronically controlled microelectronic cellular logic array
US3531662A (en) 1967-04-10 1970-09-29 Sperry Rand Corp Batch fabrication arrangement for integrated circuits
US3564506A (en) 1968-01-17 1971-02-16 Ibm Instruction retry byte counter
GB1253309A (en) 1969-11-21 1971-11-10 Marconi Co Ltd Improvements in or relating to data processing arrangements
US3753008A (en) 1970-06-20 1973-08-14 Honeywell Inf Systems Memory pre-driver circuit
DE2057312A1 (de) 1970-11-21 1972-05-25 Bhs Bayerische Berg Planetenradgetriebe mit Lastdruckausgleich
US5459846A (en) 1988-12-02 1995-10-17 Hyatt; Gilbert P. Computer architecture system having an imporved memory
US3754211A (en) 1971-12-30 1973-08-21 Ibm Fast error recovery communication controller
US3855577A (en) 1973-06-11 1974-12-17 Texas Instruments Inc Power saving circuit for calculator system
US3956589A (en) 1973-11-26 1976-05-11 Paradyne Corporation Data telecommunication system
US4020469A (en) 1975-04-09 1977-04-26 Frank Manning Programmable arrays
DE2713648A1 (de) 1976-03-26 1977-10-06 Tokyo Shibaura Electric Co Stromzufuhr-steuervorrichtung fuer speichervorrichtungen
US4233667A (en) 1978-10-23 1980-11-11 International Business Machines Corporation Demand powered programmable logic array
US4412303A (en) 1979-11-26 1983-10-25 Burroughs Corporation Array processor architecture
CA1174370A (en) 1980-05-19 1984-09-11 Hidekazu Matsumoto Data processing unit with pipelined operands
US4414547A (en) 1981-08-05 1983-11-08 General Instrument Corporation Storage logic array having two conductor data column
US4498134A (en) 1982-01-26 1985-02-05 Hughes Aircraft Company Segregator functional plane for use in a modular array processor
US4489857A (en) 1982-03-22 1984-12-25 Bobrick Washroom Equipment, Inc. Liquid dispenser
US4590583A (en) 1982-07-16 1986-05-20 At&T Bell Laboratories Coin telephone measurement circuitry
US4498172A (en) 1982-07-26 1985-02-05 General Electric Company System for polynomial division self-testing of digital networks
US4667190A (en) 1982-07-30 1987-05-19 Honeywell Inc. Two axis fast access memory
JPS5936857A (ja) 1982-08-25 1984-02-29 Nec Corp プロセツサユニツト
US4539637A (en) 1982-08-26 1985-09-03 At&T Bell Laboratories Method and apparatus for handling interprocessor calls in a multiprocessor system
US4663706A (en) 1982-10-28 1987-05-05 Tandem Computers Incorporated Multiprocessor multisystem communications network
US4594682A (en) 1982-12-22 1986-06-10 Ibm Corporation Vector processing
US4739474A (en) 1983-03-10 1988-04-19 Martin Marietta Corporation Geometric-arithmetic parallel processor
US4566102A (en) 1983-04-18 1986-01-21 International Business Machines Corporation Parallel-shift error reconfiguration
US5123109A (en) 1983-05-31 1992-06-16 Thinking Machines Corporation Parallel processor including a processor array with plural data transfer arrangements including (1) a global router and (2) a proximate-neighbor transfer system
US4571736A (en) 1983-10-31 1986-02-18 University Of Southwestern Louisiana Digital communication system employing differential coding and sample robbing
US4646300A (en) 1983-11-14 1987-02-24 Tandem Computers Incorporated Communications method
US4870302A (en) 1984-03-12 1989-09-26 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
USRE34363E (en) 1984-03-12 1993-08-31 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
JPS60198618A (ja) 1984-03-21 1985-10-08 Oki Electric Ind Co Ltd ダイナミツク論理回路
US4577293A (en) 1984-06-01 1986-03-18 International Business Machines Corporation Distributed, on-chip cache
US4761755A (en) 1984-07-11 1988-08-02 Prime Computer, Inc. Data processing system and method having an improved arithmetic unit
US4642487A (en) 1984-09-26 1987-02-10 Xilinx, Inc. Special interconnect for configurable logic array
US4682284A (en) 1984-12-06 1987-07-21 American Telephone & Telegraph Co., At&T Bell Lab. Queue administration method and apparatus
US4623997A (en) 1984-12-13 1986-11-18 United Technologies Corporation Coherent interface with wraparound receive and transmit memories
EP0190813B1 (de) 1985-01-29 1991-09-18 The Secretary of State for Defence in Her Britannic Majesty's Government of the United Kingdom of Great Britain and Verarbeitungszelle für fehlertolerante Matrixanordnungen
US4720778A (en) * 1985-01-31 1988-01-19 Hewlett Packard Company Software debugging analyzer
US5023775A (en) 1985-02-14 1991-06-11 Intel Corporation Software programmable logic array utilizing "and" and "or" gates
US5247689A (en) 1985-02-25 1993-09-21 Ewert Alfred P Parallel digital processor including lateral transfer buses with interrupt switches to form bus interconnection segments
US4706216A (en) 1985-02-27 1987-11-10 Xilinx, Inc. Configurable logic element
US5015884A (en) 1985-03-29 1991-05-14 Advanced Micro Devices, Inc. Multiple array high performance programmable logic device family
US5225719A (en) 1985-03-29 1993-07-06 Advanced Micro Devices, Inc. Family of multiple segmented programmable logic blocks interconnected by a high speed centralized switch matrix
US4972314A (en) 1985-05-20 1990-11-20 Hughes Aircraft Company Data flow signal processor method and apparatus
US4967340A (en) 1985-06-12 1990-10-30 E-Systems, Inc. Adaptive processing system having an array of individually configurable processing components
GB8517376D0 (en) 1985-07-09 1985-08-14 Jesshope C R Processor array
US4748580A (en) 1985-08-30 1988-05-31 Advanced Micro Devices, Inc. Multi-precision fixed/floating-point processor
US4720780A (en) 1985-09-17 1988-01-19 The Johns Hopkins University Memory-linked wavefront array processor
US4722084A (en) 1985-10-02 1988-01-26 Itt Corporation Array reconfiguration apparatus and methods particularly adapted for use with very large scale integrated circuits
EP0221360B1 (de) 1985-11-04 1992-12-30 International Business Machines Corporation Digitale Nachrichtenübertragungsnetzwerke und Aufbau von Übertragungswegen in diesen Netzwerken
US5070475A (en) 1985-11-14 1991-12-03 Data General Corporation Floating point unit interface
US4700187A (en) 1985-12-02 1987-10-13 Concurrent Logic, Inc. Programmable, asynchronous logic cell and array
US4852048A (en) 1985-12-12 1989-07-25 Itt Corporation Single instruction multiple data (SIMD) cellular array processing apparatus employing a common bus where a first number of bits manifest a first bus portion and a second number of bits manifest a second bus portion
US4720822A (en) 1986-03-07 1988-01-19 International Business Machines Corporation High frequency signal measurement method and apparatus
US4882687A (en) * 1986-03-31 1989-11-21 Schlumberger Technology Corporation Pixel processor
US5021947A (en) 1986-03-31 1991-06-04 Hughes Aircraft Company Data-flow multiprocessor architecture with three dimensional multistage interconnection network for efficient signal and data processing
US4724307A (en) 1986-04-29 1988-02-09 Gtech Corporation Marked card reader
US5034914A (en) 1986-05-15 1991-07-23 Aquidneck Systems International, Inc. Optical disk data storage method and apparatus with buffered interface
GB8612396D0 (en) 1986-05-21 1986-06-25 Hewlett Packard Ltd Chain-configured interface bus system
US4760525A (en) 1986-06-10 1988-07-26 The United States Of America As Represented By The Secretary Of The Air Force Complex arithmetic vector processor for performing control function, scalar operation, and set-up of vector signal processing instruction
US4791603A (en) 1986-07-18 1988-12-13 Honeywell Inc. Dynamically reconfigurable array logic
US4860201A (en) 1986-09-02 1989-08-22 The Trustees Of Columbia University In The City Of New York Binary tree parallel processor
US4910665A (en) 1986-09-02 1990-03-20 General Electric Company Distributed processing system including reconfigurable elements
US5367208A (en) 1986-09-19 1994-11-22 Actel Corporation Reconfigurable programmable interconnect architecture
US4884231A (en) * 1986-09-26 1989-11-28 Performance Semiconductor Corporation Microprocessor system with extended arithmetic logic unit
GB2211638A (en) 1987-10-27 1989-07-05 Ibm Simd array processor
US4768196A (en) 1986-10-28 1988-08-30 Silc Technologies, Inc. Programmable logic array
JP2900359B2 (ja) 1986-10-30 1999-06-02 株式会社日立製作所 マルチプロセッサシステム
FR2606184B1 (fr) 1986-10-31 1991-11-29 Thomson Csf Dispositif de calcul reconfigurable
US4918440A (en) 1986-11-07 1990-04-17 Furtek Frederick C Programmable logic cell and array
US4811214A (en) 1986-11-14 1989-03-07 Princeton University Multinode reconfigurable pipeline computer
US4786904A (en) 1986-12-15 1988-11-22 Zoran Corporation Electronically programmable gate array having programmable interconnect lines
US4837735A (en) 1987-06-09 1989-06-06 Martin Marietta Energy Systems, Inc. Parallel machine architecture for production rule systems
US5226122A (en) 1987-08-21 1993-07-06 Compaq Computer Corp. Programmable logic system for filtering commands to a microprocessor
CA1299757C (en) 1987-08-28 1992-04-28 Brent Cameron Beardsley Device initiated partial system quiescing
US5119290A (en) 1987-10-02 1992-06-02 Sun Microsystems, Inc. Alias address support
US4862407A (en) 1987-10-05 1989-08-29 Motorola, Inc. Digital signal processing apparatus
CA1286421C (en) 1987-10-14 1991-07-16 Martin Claude Lefebvre Message fifo buffer controller
US5115510A (en) 1987-10-20 1992-05-19 Sharp Kabushiki Kaisha Multistage data flow processor with instruction packet, fetch, storage transmission and address generation controlled by destination information
US5081575A (en) 1987-11-06 1992-01-14 Oryx Corporation Highly parallel computer architecture employing crossbar switch with selectable pipeline delay
US5113498A (en) 1987-11-10 1992-05-12 Echelon Corporation Input/output section for an intelligent cell which provides sensing, bidirectional communications and control
US4918690A (en) 1987-11-10 1990-04-17 Echelon Systems Corp. Network and intelligent cell for providing sensing, bidirectional communications and control
US5031179A (en) 1987-11-10 1991-07-09 Canon Kabushiki Kaisha Data communication apparatus
NL8800053A (nl) 1988-01-11 1989-08-01 Philips Nv Videoprocessorsysteem, alsmede afbeeldingssysteem en beeldopslagsysteem, voorzien van een dergelijk videoprocessorsysteem.
USRE34444E (en) * 1988-01-13 1993-11-16 Xilinx, Inc. Programmable logic device
NL8800071A (nl) 1988-01-13 1989-08-01 Philips Nv Dataprocessorsysteem en videoprocessorsysteem, voorzien van een dergelijk dataprocessorsysteem.
US5197016A (en) 1988-01-13 1993-03-23 International Chip Corporation Integrated silicon-software compiler
EP0325421B1 (de) 1988-01-20 1994-08-10 Advanced Micro Devices, Inc. Organisation eines integrierten Cachespeichers zur flexiblen Anwendung zur Unterstützung von Multiprozessor-Operationen
US5261113A (en) 1988-01-25 1993-11-09 Digital Equipment Corporation Apparatus and method for single operand register array for vector and scalar data processing operations
US5303172A (en) 1988-02-16 1994-04-12 Array Microsystems Pipelined combination and vector signal processor
US4959781A (en) 1988-05-16 1990-09-25 Stardent Computer, Inc. System for assigning interrupts to least busy processor that already loaded same class of interrupt routines
JP2741867B2 (ja) 1988-05-27 1998-04-22 株式会社日立製作所 情報処理システムおよびプロセツサ
JPH06101043B2 (ja) 1988-06-30 1994-12-12 三菱電機株式会社 マイクロコンピュータ
US4939641A (en) 1988-06-30 1990-07-03 Wang Laboratories, Inc. Multi-processor system with cache memories
US5287511A (en) 1988-07-11 1994-02-15 Star Semiconductor Corporation Architectures and methods for dividing processing tasks into tasks for a programmable real time signal processor and tasks for a decision making microprocessor interfacing therewith
JPH03500461A (ja) 1988-07-22 1991-01-31 アメリカ合衆国 データ駆動式計算用のデータ流れ装置
US5010401A (en) 1988-08-11 1991-04-23 Mitsubishi Denki Kabushiki Kaisha Picture coding and decoding apparatus using vector quantization
US4901268A (en) 1988-08-19 1990-02-13 General Electric Company Multiple function data processor
US5204935A (en) 1988-08-19 1993-04-20 Fuji Xerox Co., Ltd. Programmable fuzzy logic circuits
US5353432A (en) 1988-09-09 1994-10-04 Compaq Computer Corporation Interactive method for configuration of computer system and circuit boards with user specification of system resources and computer resolution of resource conflicts
EP0363631B1 (de) 1988-09-22 1993-12-15 Siemens Aktiengesellschaft Schaltungsanordnung für Fernmeldevermittlungsanlagen, insbesondere PCM-Zeitmultiplex-Fernsprechvermittlungsanlagen mit Zentralkoppelfeld und angeschlossenen Teilkoppelfeldern
EP0437491B1 (de) 1988-10-05 1995-12-13 Quickturn Systems Inc Verfahren zur verwendung einer elektronisch wiederkonfigurierbaren gatterfeld-logik und dadurch hergestelltes gerät
US5452231A (en) 1988-10-05 1995-09-19 Quickturn Design Systems, Inc. Hierarchically connected reconfigurable logic assembly
WO1990004235A1 (en) 1988-10-07 1990-04-19 Martin Marietta Corporation Parallel data processor
US5014193A (en) 1988-10-14 1991-05-07 Compaq Computer Corporation Dynamically configurable portable computer system
US5136717A (en) 1988-11-23 1992-08-04 Flavors Technology Inc. Realtime systolic, multiple-instruction, single-data parallel computer system
US5041924A (en) 1988-11-30 1991-08-20 Quantum Corporation Removable and transportable hard disk subsystem
US5081375A (en) 1989-01-19 1992-01-14 National Semiconductor Corp. Method for operating a multiple page programmable logic device
US5245616A (en) 1989-02-24 1993-09-14 Rosemount Inc. Technique for acknowledging packets
GB8906145D0 (en) 1989-03-17 1989-05-04 Algotronix Ltd Configurable cellular array
US5203005A (en) 1989-05-02 1993-04-13 Horst Robert W Cell structure for linear array wafer scale integration architecture with capability to open boundary i/o bus without neighbor acknowledgement
US5237686A (en) 1989-05-10 1993-08-17 Mitsubishi Denki Kabushiki Kaisha Multiprocessor type time varying image encoding system and image processor with memory bus control table for arbitration priority
US5109503A (en) 1989-05-22 1992-04-28 Ge Fanuc Automation North America, Inc. Apparatus with reconfigurable counter includes memory for storing plurality of counter configuration files which respectively define plurality of predetermined counters
JP2584673B2 (ja) 1989-06-09 1997-02-26 株式会社日立製作所 テストデータ変更回路を有する論理回路テスト装置
CA2021192A1 (en) 1989-07-28 1991-01-29 Malcolm A. Mumme Simplified synchronous mesh processor
US5343406A (en) 1989-07-28 1994-08-30 Xilinx, Inc. Distributed memory architecture for a configurable logic array and method for using distributed memory
US5489857A (en) 1992-08-03 1996-02-06 Advanced Micro Devices, Inc. Flexible synchronous/asynchronous cell structure for a high density programmable logic device
US5233539A (en) 1989-08-15 1993-08-03 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure, input/output structure and configurable logic block
US5212652A (en) 1989-08-15 1993-05-18 Advanced Micro Devices, Inc. Programmable gate array with improved interconnect structure
US5128559A (en) 1989-09-29 1992-07-07 Sgs-Thomson Microelectronics, Inc. Logic block for programmable logic devices
JP2968289B2 (ja) 1989-11-08 1999-10-25 株式会社リコー 中央演算処理装置
GB8925721D0 (en) 1989-11-14 1990-01-04 Amt Holdings Processor array system
GB8925723D0 (en) * 1989-11-14 1990-01-04 Amt Holdings Processor array system
US5522083A (en) 1989-11-17 1996-05-28 Texas Instruments Incorporated Reconfigurable multi-processor operating in SIMD mode with one processor fetching instructions for use by remaining processors
US5212777A (en) 1989-11-17 1993-05-18 Texas Instruments Incorporated Multi-processor reconfigurable in single instruction multiple data (SIMD) and multiple instruction multiple data (MIMD) modes and method of operation
DE58908974D1 (de) 1989-11-21 1995-03-16 Itt Ind Gmbh Deutsche Datengesteuerter Arrayprozessor.
US5099447A (en) * 1990-01-22 1992-03-24 Alliant Computer Systems Corporation Blocked matrix multiplication for computers with hierarchical memory
WO1991011765A1 (en) 1990-01-29 1991-08-08 Teraplex, Inc. Architecture for minimal instruction set computing system
US5125801A (en) 1990-02-02 1992-06-30 Isco, Inc. Pumping system
JPH03254497A (ja) 1990-03-05 1991-11-13 Mitsubishi Electric Corp マイクロコンピュータ
JP3118266B2 (ja) 1990-03-06 2000-12-18 ゼロックス コーポレイション 同期セグメントバスとバス通信方法
US5036493A (en) 1990-03-15 1991-07-30 Digital Equipment Corporation System and method for reducing power usage by multiple memory modules
US5142469A (en) 1990-03-29 1992-08-25 Ge Fanuc Automation North America, Inc. Method for converting a programmable logic controller hardware configuration and corresponding control program for use on a first programmable logic controller to use on a second programmable logic controller
US5555201A (en) 1990-04-06 1996-09-10 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including interactive system for hierarchical display of control and dataflow information
IL96808A (en) 1990-04-18 1996-03-31 Rambus Inc Introductory / Origin Circuit Agreed Using High-Performance Brokerage
EP0463721A3 (en) 1990-04-30 1993-06-16 Gennum Corporation Digital signal processing device
WO1991017507A1 (en) 1990-05-07 1991-11-14 Mitsubishi Denki Kabushiki Kaisha Parallel data processing system
US5198705A (en) 1990-05-11 1993-03-30 Actel Corporation Logic module with configurable combinational and sequential blocks
US5483620A (en) 1990-05-22 1996-01-09 International Business Machines Corp. Learning machine synapse processor system apparatus
US5193202A (en) 1990-05-29 1993-03-09 Wavetracer, Inc. Processor array with relocated operand physical address generator capable of data transfer to distant physical processor for each virtual processor while simulating dimensionally larger array processor
CA2045773A1 (en) 1990-06-29 1991-12-30 Compaq Computer Corporation Byte-compare operation for high-performance processor
US5111079A (en) 1990-06-29 1992-05-05 Sgs-Thomson Microelectronics, Inc. Power reduction circuit for programmable logic device
SE9002558D0 (sv) 1990-08-02 1990-08-02 Carlstedt Elektronik Ab Processor
DE4129614C2 (de) 1990-09-07 2002-03-21 Hitachi Ltd System und Verfahren zur Datenverarbeitung
US5274593A (en) 1990-09-28 1993-12-28 Intergraph Corporation High speed redundant rows and columns for semiconductor memories
US5305446A (en) * 1990-09-28 1994-04-19 Texas Instruments Incorporated Processing devices with improved addressing capabilities, systems and methods
US5076482A (en) 1990-10-05 1991-12-31 The Fletcher Terry Company Pneumatic point driver
US5144166A (en) 1990-11-02 1992-09-01 Concurrent Logic, Inc. Programmable logic cell and array
US5245227A (en) 1990-11-02 1993-09-14 Atmel Corporation Versatile programmable logic cell for use in configurable logic arrays
US5617577A (en) 1990-11-13 1997-04-01 International Business Machines Corporation Advanced parallel array processor I/O connection
EP0485690B1 (de) 1990-11-13 1999-05-26 International Business Machines Corporation Paralleles Assoziativprozessor-System
US5590345A (en) 1990-11-13 1996-12-31 International Business Machines Corporation Advanced parallel array processor(APAP)
US5713037A (en) * 1990-11-13 1998-01-27 International Business Machines Corporation Slide bus communication functions for SIMD/MIMD array processor
US5588152A (en) 1990-11-13 1996-12-24 International Business Machines Corporation Advanced parallel processor including advanced support hardware
US5734921A (en) * 1990-11-13 1998-03-31 International Business Machines Corporation Advanced parallel array processor computer package
US5794059A (en) 1990-11-13 1998-08-11 International Business Machines Corporation N-dimensional modified hypercube
US5765011A (en) 1990-11-13 1998-06-09 International Business Machines Corporation Parallel processing system having a synchronous SIMD processing with processing elements emulating SIMD operation using individual instruction streams
US5625836A (en) 1990-11-13 1997-04-29 International Business Machines Corporation SIMD/MIMD processing memory element (PME)
CA2051222C (en) 1990-11-30 1998-05-05 Pradeep S. Sindhu Consistent packet switched memory bus for shared memory multiprocessors
US5613128A (en) 1990-12-21 1997-03-18 Intel Corporation Programmable multi-processor interrupt controller system with a processor integrated local interrupt controller
US5276836A (en) * 1991-01-10 1994-01-04 Hitachi, Ltd. Data processing device with common memory connecting mechanism
US5301284A (en) 1991-01-16 1994-04-05 Walker-Estes Corporation Mixed-resolution, N-dimensional object space method and apparatus
US5301344A (en) 1991-01-29 1994-04-05 Analogic Corporation Multibus sequential processor to perform in parallel a plurality of reconfigurable logic operations on a plurality of data sets
JP2867717B2 (ja) 1991-02-01 1999-03-10 日本電気株式会社 マイクロコンピュータ
US5212716A (en) 1991-02-05 1993-05-18 International Business Machines Corporation Data edge phase sorting circuits
US5218302A (en) 1991-02-06 1993-06-08 Sun Electric Corporation Interface for coupling an analyzer to a distributorless ignition system
EP0499695B1 (de) 1991-02-22 1996-05-01 Siemens Aktiengesellschaft Speicherprogrammierbare Steuerung
JPH04290155A (ja) 1991-03-19 1992-10-14 Fujitsu Ltd 並列データ処理方式
JPH04293151A (ja) 1991-03-20 1992-10-16 Fujitsu Ltd 並列データ処理方式
US5617547A (en) * 1991-03-29 1997-04-01 International Business Machines Corporation Switch network extension of bus architecture
WO1992018935A1 (en) 1991-04-09 1992-10-29 Fujitsu Limited Data processor and data processing method
JPH04328657A (ja) 1991-04-30 1992-11-17 Toshiba Corp キャッシュメモリ
US5551033A (en) 1991-05-17 1996-08-27 Zenith Data Systems Corporation Apparatus for maintaining one interrupt mask register in conformity with another in a manner invisible to an executing program
JPH06507990A (ja) 1991-05-24 1994-09-08 ブリティッシュ・テクノロジー・グループ・ユーエスエイ・インコーポレーテッド コンピュータのための最適化コンパイラ
US5659797A (en) 1991-06-24 1997-08-19 U.S. Philips Corporation Sparc RISC based computer system including a single chip processor with memory management and DMA units coupled to a DRAM interface
JP3259969B2 (ja) 1991-07-09 2002-02-25 株式会社東芝 キャッシュメモリ制御装置
US5347639A (en) 1991-07-15 1994-09-13 International Business Machines Corporation Self-parallelizing computer system and method
US5298805A (en) 1991-08-29 1994-03-29 National Semiconductor Corporation Versatile and efficient cell-to-local bus interface in a configurable logic array
US5317209A (en) 1991-08-29 1994-05-31 National Semiconductor Corporation Dynamic three-state bussing capability in a configurable logic array
US5581731A (en) 1991-08-30 1996-12-03 King; Edward C. Method and apparatus for managing video data for faster access by selectively caching video data
US5550782A (en) 1991-09-03 1996-08-27 Altera Corporation Programmable logic array integrated circuits
US5260610A (en) 1991-09-03 1993-11-09 Altera Corporation Programmable logic element interconnections for programmable logic array integrated circuits
US5633830A (en) 1995-11-08 1997-05-27 Altera Corporation Random access memory block circuitry for programmable logic array integrated circuit devices
FR2681791B1 (fr) 1991-09-27 1994-05-06 Salomon Sa Dispositif d'amortissement des vibrations pour club de golf.
CA2073516A1 (en) 1991-11-27 1993-05-28 Peter Michael Kogge Dynamic multi-mode parallel processor array architecture computer system
WO1993011503A1 (en) 1991-12-06 1993-06-10 Norman Richard S Massively-parallel direct output processor array
US5208491A (en) 1992-01-07 1993-05-04 Washington Research Foundation Field programmable gate array
FR2686175B1 (fr) 1992-01-14 1996-12-20 Andre Thepaut Systeme de traitement de donnees multiprocesseur.
US5412795A (en) 1992-02-25 1995-05-02 Micral, Inc. State machine having a variable timing mechanism for varying the duration of logical output states of the state machine based on variation in the clock frequency
JP2791243B2 (ja) * 1992-03-13 1998-08-27 株式会社東芝 階層間同期化システムおよびこれを用いた大規模集積回路
US5452401A (en) 1992-03-31 1995-09-19 Seiko Epson Corporation Selective power-down for high performance CPU/system
JP2647327B2 (ja) 1992-04-06 1997-08-27 インターナショナル・ビジネス・マシーンズ・コーポレイション 大規模並列コンピューティング・システム装置
US5493663A (en) 1992-04-22 1996-02-20 International Business Machines Corporation Method and apparatus for predetermining pages for swapping from physical memory in accordance with the number of accesses
JP2572522B2 (ja) 1992-05-12 1997-01-16 インターナショナル・ビジネス・マシーンズ・コーポレイション コンピューティング装置
US5611049A (en) * 1992-06-03 1997-03-11 Pitts; William M. System for accessing distributed data cache channel at each network node to pass requests and data
WO1993024895A2 (en) 1992-06-04 1993-12-09 Xilinx, Inc. Timing driven method for laying out a user's circuit onto a programmable integrated circuit device
DE4221278C2 (de) 1992-06-29 1996-02-29 Martin Vorbach Busgekoppeltes Mehrrechnersystem
DE69330974T2 (de) 1992-07-02 2002-05-29 Atmel Corp Unterbrechungsfreies wahlfreies Zugriffspeichersystem
US5475803A (en) 1992-07-10 1995-12-12 Lsi Logic Corporation Method for 2-D affine transformation of images
JP3032382B2 (ja) 1992-07-13 2000-04-17 シャープ株式会社 デジタル信号のサンプリング周波数変換装置
US5365125A (en) 1992-07-23 1994-11-15 Xilinx, Inc. Logic cell for field programmable gate array having optional internal feedback and optional cascade
US5386154A (en) 1992-07-23 1995-01-31 Xilinx, Inc. Compact logic cell for field programmable gate array chip
US5590348A (en) 1992-07-28 1996-12-31 International Business Machines Corporation Status predictor for combined shifter-rotate/merge unit
US5802290A (en) 1992-07-29 1998-09-01 Virtual Computer Corporation Computer network of distributed virtual computers which are EAC reconfigurable in response to instruction to be executed
US5581778A (en) * 1992-08-05 1996-12-03 David Sarnoff Researach Center Advanced massively parallel computer using a field of the instruction to selectively enable the profiling counter to increase its value in response to the system clock
ATE194247T1 (de) 1992-09-03 2000-07-15 Sony Corp Datenaufzeichnungsgerät und -verfahren
US5572710A (en) 1992-09-11 1996-11-05 Kabushiki Kaisha Toshiba High speed logic simulation system using time division emulation suitable for large scale logic circuits
US5425036A (en) 1992-09-18 1995-06-13 Quickturn Design Systems, Inc. Method and apparatus for debugging reconfigurable emulation systems
JPH06180653A (ja) 1992-10-02 1994-06-28 Hudson Soft Co Ltd 割り込み処理方法および装置
GB9223226D0 (en) 1992-11-05 1992-12-16 Algotronix Ltd Improved configurable cellular array (cal ii)
US5857109A (en) 1992-11-05 1999-01-05 Giga Operations Corporation Programmable logic device for real time video processing
US5497498A (en) 1992-11-05 1996-03-05 Giga Operations Corporation Video processing module using a second programmable logic device which reconfigures a first programmable logic device for data transformation
US5392437A (en) 1992-11-06 1995-02-21 Intel Corporation Method and apparatus for independently stopping and restarting functional units
US5394030A (en) 1992-11-10 1995-02-28 Infinite Technology Corporation Programmable logic device
US5357152A (en) 1992-11-10 1994-10-18 Infinite Technology Corporation Logic system of logic networks with programmable selected functions and programmable operational controls
US5361373A (en) 1992-12-11 1994-11-01 Gilson Kent L Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
EP0601715A1 (de) * 1992-12-11 1994-06-15 National Semiconductor Corporation Für den On-Chip-Speicherzugriff optimierter CPV-Kernbus
US5311079A (en) 1992-12-17 1994-05-10 Ditlow Gary S Low power, high performance PLA
JP2977688B2 (ja) 1992-12-18 1999-11-15 富士通株式会社 マルチプロセッシング装置、方法、及びこれらに使用するプロセッサ
US5428526A (en) 1993-02-03 1995-06-27 Flood; Mark A. Programmable controller with time periodic communication
US5386518A (en) 1993-02-12 1995-01-31 Hughes Aircraft Company Reconfigurable computer interface and method
GB9303084D0 (en) 1993-02-16 1993-03-31 Inmos Ltd Programmable logic circuit
JPH06276086A (ja) 1993-03-18 1994-09-30 Fuji Xerox Co Ltd フィールドプログラマブルゲートアレイ
US5548773A (en) 1993-03-30 1996-08-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Digital parallel processor array for optimum path planning
US5386155A (en) 1993-03-30 1995-01-31 Intel Corporation Apparatus and method for selecting polarity and output type in a programmable logic device
US5596742A (en) * 1993-04-02 1997-01-21 Massachusetts Institute Of Technology Virtual interconnections for reconfigurable logic systems
US5418953A (en) 1993-04-12 1995-05-23 Loral/Rohm Mil-Spec Corp. Method for automated deployment of a software program onto a multi-processor architecture
US5473266A (en) 1993-04-19 1995-12-05 Altera Corporation Programmable logic device having fast programmable logic array blocks and a central global interconnect array
AU6774894A (en) * 1993-04-26 1994-11-21 Comdisco Systems, Inc. Method for scheduling synchronous data flow graphs
DE4416881C2 (de) 1993-05-13 1998-03-19 Pact Inf Tech Gmbh Verfahren zum Betrieb einer Datenverarbeitungseinrichtung
US5435000A (en) 1993-05-19 1995-07-18 Bull Hn Information Systems Inc. Central processing unit using dual basic processing units and combined result bus
US5349193A (en) 1993-05-20 1994-09-20 Princeton Gamma Tech, Inc. Highly sensitive nuclear spectrometer apparatus and method
WO1994028475A1 (en) 1993-05-28 1994-12-08 Regents Of The University Of California Field programmable logic device with dynamic interconnections to a dynamic logic core
IT1260848B (it) 1993-06-11 1996-04-23 Finmeccanica Spa Sistema a multiprocessore
US5444394A (en) 1993-07-08 1995-08-22 Altera Corporation PLD with selective inputs from local and global conductors
JPH0736858A (ja) 1993-07-21 1995-02-07 Hitachi Ltd 信号処理プロセッサ
US5581734A (en) 1993-08-02 1996-12-03 International Business Machines Corporation Multiprocessor system with shared cache and data input/output circuitry for transferring data amount greater than system bus capacity
CA2129882A1 (en) 1993-08-12 1995-02-13 Soheil Shams Dynamically reconfigurable interprocessor communication network for simd multiprocessors and apparatus implementing same
US5457644A (en) 1993-08-20 1995-10-10 Actel Corporation Field programmable digital signal processing array integrated circuit
GB2282244B (en) 1993-09-23 1998-01-14 Advanced Risc Mach Ltd Integrated circuit
US5440538A (en) 1993-09-23 1995-08-08 Massachusetts Institute Of Technology Communication system with redundant links and data bit time multiplexing
US5502838A (en) 1994-04-28 1996-03-26 Consilium Overseas Limited Temperature management for integrated circuits
US6219688B1 (en) 1993-11-30 2001-04-17 Texas Instruments Incorporated Method, apparatus and system for sum of plural absolute differences
US5455525A (en) 1993-12-06 1995-10-03 Intelligent Logic Systems, Inc. Hierarchically-structured programmable logic array and system for interconnecting logic elements in the logic array
US6064819A (en) 1993-12-08 2000-05-16 Imec Control flow and memory management optimization
US5574753A (en) * 1993-12-23 1996-11-12 Unisys Corporation Glitch free clock start/stop control circuit for outputting a single clock signal and a single sync signal from a plurality of sync signal inputs and a plurality of clock signal inputs
US5535406A (en) 1993-12-29 1996-07-09 Kolchinsky; Alexander Virtual processor module including a reconfigurable programmable matrix
US5680583A (en) 1994-02-16 1997-10-21 Arkos Design, Inc. Method and apparatus for a trace buffer in an emulation system
JPH07234842A (ja) 1994-02-22 1995-09-05 Fujitsu Ltd 並列データ処理システム
WO1995025306A2 (en) 1994-03-14 1995-09-21 Stanford University Distributed shared-cache for multi-processors
AU700629B2 (en) 1994-03-22 1999-01-07 Hyperchip Inc. Efficient direct cell replacement fault tolerant architecture supporting completely integrated systems with means for direct communication with system operator
US5561738A (en) 1994-03-25 1996-10-01 Motorola, Inc. Data processor for executing a fuzzy logic operation and method therefor
US5574927A (en) 1994-03-25 1996-11-12 International Meta Systems, Inc. RISC architecture computer configured for emulation of the instruction set of a target computer
US5430687A (en) 1994-04-01 1995-07-04 Xilinx, Inc. Programmable logic device including a parallel input device for loading memory cells
US5504439A (en) 1994-04-01 1996-04-02 Xilinx, Inc. I/O interface cell for use with optional pad
US5781756A (en) 1994-04-01 1998-07-14 Xilinx, Inc. Programmable logic device with partially configurable memory cells and a method for configuration
US5761484A (en) 1994-04-01 1998-06-02 Massachusetts Institute Of Technology Virtual interconnections for reconfigurable logic systems
US5896551A (en) * 1994-04-15 1999-04-20 Micron Technology, Inc. Initializing and reprogramming circuitry for state independent memory array burst operations control
WO1995028671A1 (en) 1994-04-18 1995-10-26 Green Logic Inc. An improved system logic controller for digital computers
US5426378A (en) 1994-04-20 1995-06-20 Xilinx, Inc. Programmable logic device which stores more than one configuration and means for switching configurations
US5677909A (en) 1994-05-11 1997-10-14 Spectrix Corporation Apparatus for exchanging data between a central station and a plurality of wireless remote stations on a time divided commnication channel
JP2671804B2 (ja) 1994-05-27 1997-11-05 日本電気株式会社 階層型資源管理方法
US5532693A (en) 1994-06-13 1996-07-02 Advanced Hardware Architectures Adaptive data compression system with systolic string matching logic
EP0690378A1 (de) 1994-06-30 1996-01-03 Tandem Computers Incorporated Werkzeug und Verfahren zur Fehlerdiagnose und -korrektur in einem Rechnerprogramm
JP3308770B2 (ja) 1994-07-22 2002-07-29 三菱電機株式会社 情報処理装置および情報処理装置における計算方法
US5600845A (en) * 1994-07-27 1997-02-04 Metalithic Systems Incorporated Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
US6217234B1 (en) 1994-07-29 2001-04-17 Discovision Associates Apparatus and method for processing data with an arithmetic unit
JP3365581B2 (ja) 1994-07-29 2003-01-14 富士通株式会社 自己修復機能付き情報処理装置
US5574930A (en) 1994-08-12 1996-11-12 University Of Hawaii Computer system and method using functional memory
US5513366A (en) 1994-09-28 1996-04-30 International Business Machines Corporation Method and system for dynamically reconfiguring a register file in a vector processor
US5619720A (en) 1994-10-04 1997-04-08 Analog Devices, Inc. Digital signal processor having link ports for point-to-point communication
US5450022A (en) 1994-10-07 1995-09-12 Xilinx Inc. Structure and method for configuration of a field programmable gate array
EP0707269A1 (de) 1994-10-11 1996-04-17 International Business Machines Corporation Cachespeicherkohärenznetzwerk für Multiprozessor-Datenverarbeitungssystem
US5530946A (en) 1994-10-28 1996-06-25 Dell Usa, L.P. Processor failure detection and recovery circuit in a dual processor computer system and method of operation thereof
US5815726A (en) 1994-11-04 1998-09-29 Altera Corporation Coarse-grained look-up table architecture
JPH08137824A (ja) 1994-11-15 1996-05-31 Mitsubishi Semiconductor Software Kk セルフテスト機能内蔵シングルチップマイコン
US6154826A (en) 1994-11-16 2000-11-28 University Of Virginia Patent Foundation Method and device for maximizing memory system bandwidth by accessing data in a dynamically determined order
US5808487A (en) 1994-11-30 1998-09-15 Hitachi Micro Systems, Inc. Multi-directional small signal transceiver/repeater
US5584013A (en) 1994-12-09 1996-12-10 International Business Machines Corporation Hierarchical cache arrangement wherein the replacement of an LRU entry in a second level cache is prevented when the cache entry is the only inclusive entry in the first level cache
EP0721157A1 (de) * 1994-12-12 1996-07-10 Advanced Micro Devices, Inc. Mikroprozessor mit auswählbarer Taktfrequenz
US5537580A (en) 1994-12-21 1996-07-16 Vlsi Technology, Inc. Integrated circuit fabrication using state machine extraction from behavioral hardware description language
US5603005A (en) 1994-12-27 1997-02-11 Unisys Corporation Cache coherency scheme for XBAR storage structure with delayed invalidates until associated write request is executed
JP3598139B2 (ja) 1994-12-28 2004-12-08 株式会社日立製作所 データ処理装置
US5682491A (en) 1994-12-29 1997-10-28 International Business Machines Corporation Selective processing and routing of results among processors controlled by decoding instructions using mask value derived from instruction tag and processor identifier
US6128720A (en) 1994-12-29 2000-10-03 International Business Machines Corporation Distributed processing array with component processors performing customized interpretation of instructions
US5778237A (en) 1995-01-10 1998-07-07 Hitachi, Ltd. Data processor and single-chip microcomputer with changing clock frequency and operating voltage
US5696791A (en) 1995-01-17 1997-12-09 Vtech Industries, Inc. Apparatus and method for decoding a sequence of digitally encoded data
US5493239A (en) 1995-01-31 1996-02-20 Motorola, Inc. Circuit and method of configuring a field programmable gate array
US5532957A (en) 1995-01-31 1996-07-02 Texas Instruments Incorporated Field reconfigurable logic/memory array
US5742180A (en) * 1995-02-10 1998-04-21 Massachusetts Institute Of Technology Dynamically programmable gate array with multiple contexts
US6052773A (en) 1995-02-10 2000-04-18 Massachusetts Institute Of Technology DPGA-coupled microprocessors
US5659785A (en) 1995-02-10 1997-08-19 International Business Machines Corporation Array processor communication architecture with broadcast processor instructions
EP0809825A1 (de) 1995-02-14 1997-12-03 Vlsi Technology, Inc. Verfahren und vorrichtung zur reduzierung des leistungsverbrauches in digitalen elektronischen schaltungen
US5537057A (en) 1995-02-14 1996-07-16 Altera Corporation Programmable logic array device with grouped logic regions and three types of conductors
US5892961A (en) * 1995-02-17 1999-04-06 Xilinx, Inc. Field programmable gate array having programming instructions in the configuration bitstream
DE69632424T2 (de) * 1995-02-17 2005-05-12 Kabushiki Kaisha Toshiba, Kawasaki Server für kontinuierliche Daten und Datentransferschema für mehrfache gleichzeitige Datenzugriffe
US5675743A (en) 1995-02-22 1997-10-07 Callisto Media Systems Inc. Multi-media server
US5570040A (en) 1995-03-22 1996-10-29 Altera Corporation Programmable logic array integrated circuit incorporating a first-in first-out memory
US5757207A (en) 1995-03-22 1998-05-26 Altera Corporation Programmable logic array integrated circuit incorporating a first-in first-out memory
US5600342A (en) * 1995-04-04 1997-02-04 Hughes Aircraft Company Diamond lattice void structure for wideband antenna systems
US5752035A (en) 1995-04-05 1998-05-12 Xilinx, Inc. Method for compiling and executing programs for reprogrammable instruction set accelerator
US5748979A (en) 1995-04-05 1998-05-05 Xilinx Inc Reprogrammable instruction set accelerator using a plurality of programmable execution units and an instruction page table
US5651137A (en) 1995-04-12 1997-07-22 Intel Corporation Scalable cache attributes for an input/output bus
JP3313007B2 (ja) * 1995-04-14 2002-08-12 三菱電機株式会社 マイクロコンピュータ
US6077315A (en) 1995-04-17 2000-06-20 Ricoh Company Ltd. Compiling system and method for partially reconfigurable computing
US5794062A (en) 1995-04-17 1998-08-11 Ricoh Company Ltd. System and method for dynamically reconfigurable computing using a processing unit having changeable internal hardware organization
US5933642A (en) 1995-04-17 1999-08-03 Ricoh Corporation Compiling system and method for reconfigurable computing
WO1996034346A1 (en) * 1995-04-28 1996-10-31 Xilinx, Inc. Microprocessor with distributed registers accessible by programmable logic device
JP3329986B2 (ja) 1995-04-28 2002-09-30 富士通株式会社 マルチプロセッサシステム
US5600597A (en) 1995-05-02 1997-02-04 Xilinx, Inc. Register protection structure for FPGA
US5701091A (en) 1995-05-02 1997-12-23 Xilinx, Inc. Routing resources for hierarchical FPGA
GB9508931D0 (en) 1995-05-02 1995-06-21 Xilinx Inc Programmable switch for FPGA input/output signals
US5541530A (en) 1995-05-17 1996-07-30 Altera Corporation Programmable logic array integrated circuits with blocks of logic regions grouped into super-blocks
US5649179A (en) 1995-05-19 1997-07-15 Motorola, Inc. Dynamic instruction allocation for a SIMD processor
US5721921A (en) 1995-05-25 1998-02-24 Cray Research, Inc. Barrier and eureka synchronization architecture for multiprocessors
US5821774A (en) * 1995-05-26 1998-10-13 Xilinx, Inc. Structure and method for arithmetic function implementation in an EPLD having high speed product term allocation structure
JPH08328941A (ja) 1995-05-31 1996-12-13 Nec Corp メモリアクセス制御回路
JP3677315B2 (ja) * 1995-06-01 2005-07-27 シャープ株式会社 データ駆動型情報処理装置
US5652529A (en) 1995-06-02 1997-07-29 International Business Machines Corporation Programmable array clock/reset resource
US5631578A (en) 1995-06-02 1997-05-20 International Business Machines Corporation Programmable array interconnect network
US5646546A (en) 1995-06-02 1997-07-08 International Business Machines Corporation Programmable logic cell having configurable gates and multiplexers
US5671432A (en) 1995-06-02 1997-09-23 International Business Machines Corporation Programmable array I/O-routing resource
US5815715A (en) 1995-06-05 1998-09-29 Motorola, Inc. Method for designing a product having hardware and software components and product therefor
US5646544A (en) 1995-06-05 1997-07-08 International Business Machines Corporation System and method for dynamically reconfiguring a programmable gate array
ZA965340B (en) 1995-06-30 1997-01-27 Interdigital Tech Corp Code division multiple access (cdma) communication system
US5889982A (en) * 1995-07-01 1999-03-30 Intel Corporation Method and apparatus for generating event handler vectors based on both operating mode and event type
US5559450A (en) 1995-07-27 1996-09-24 Lucent Technologies Inc. Field programmable gate array with multi-port RAM
US5978583A (en) 1995-08-07 1999-11-02 International Business Machines Corp. Method for resource control in parallel environments using program organization and run-time support
US5649176A (en) 1995-08-10 1997-07-15 Virtual Machine Works, Inc. Transition analysis and circuit resynthesis method and device for digital circuit modeling
US5996083A (en) 1995-08-11 1999-11-30 Hewlett-Packard Company Microprocessor having software controllable power consumption
GB2304438A (en) 1995-08-17 1997-03-19 Kenneth Austin Re-configurable application specific device
US5784313A (en) 1995-08-18 1998-07-21 Xilinx, Inc. Programmable logic device including configuration data or user data memory slices
US5646545A (en) 1995-08-18 1997-07-08 Xilinx, Inc. Time multiplexed programmable logic device
US5583450A (en) 1995-08-18 1996-12-10 Xilinx, Inc. Sequencer for a time multiplexed programmable logic device
US5778439A (en) 1995-08-18 1998-07-07 Xilinx, Inc. Programmable logic device with hierarchical confiquration and state storage
US5737565A (en) 1995-08-24 1998-04-07 International Business Machines Corporation System and method for diallocating stream from a stream buffer
US5737516A (en) * 1995-08-30 1998-04-07 Motorola, Inc. Data processing system for performing a debug function and method therefor
US5734869A (en) 1995-09-06 1998-03-31 Chen; Duan-Ping High speed logic circuit simulator
US6430309B1 (en) 1995-09-15 2002-08-06 Monogen, Inc. Specimen preview and inspection system
US5745734A (en) 1995-09-29 1998-04-28 International Business Machines Corporation Method and system for programming a gate array using a compressed configuration bit stream
US5652894A (en) 1995-09-29 1997-07-29 Intel Corporation Method and apparatus for providing power saving modes to a pipelined processor
JP3223769B2 (ja) 1995-10-11 2001-10-29 三菱電機株式会社 回転センサとその製造方法
US5754827A (en) 1995-10-13 1998-05-19 Mentor Graphics Corporation Method and apparatus for performing fully visible tracing of an emulation
US5815004A (en) 1995-10-16 1998-09-29 Xilinx, Inc. Multi-buffered configurable logic block output lines in a field programmable gate array
US5642058A (en) 1995-10-16 1997-06-24 Xilinx , Inc. Periphery input/output interconnect structure
US5608342A (en) 1995-10-23 1997-03-04 Xilinx, Inc. Hierarchical programming of electrically configurable integrated circuits
US5656950A (en) 1995-10-26 1997-08-12 Xilinx, Inc. Interconnect lines including tri-directional buffer circuits
US5675262A (en) 1995-10-26 1997-10-07 Xilinx, Inc. Fast carry-out scheme in a field programmable gate array
US5943242A (en) 1995-11-17 1999-08-24 Pact Gmbh Dynamically reconfigurable data processing system
US5732209A (en) * 1995-11-29 1998-03-24 Exponential Technology, Inc. Self-testing multi-processor die with internal compare points
US5812844A (en) 1995-12-07 1998-09-22 Microsoft Corporation Method and system for scheduling the execution of threads using optional time-specific scheduling constraints
US5773994A (en) 1995-12-15 1998-06-30 Cypress Semiconductor Corp. Method and apparatus for implementing an internal tri-state bus within a programmable logic circuit
JPH09231788A (ja) 1995-12-19 1997-09-05 Fujitsu Ltd シフトレジスタ及びプログラマブル論理回路並びにプログラマブル論理回路システム
US5715476A (en) 1995-12-29 1998-02-03 Intel Corporation Method and apparatus for controlling linear and toggle mode burst access sequences using toggle mode increment logic
US5804986A (en) 1995-12-29 1998-09-08 Cypress Semiconductor Corp. Memory in a programmable logic device
US7266725B2 (en) * 2001-09-03 2007-09-04 Pact Xpp Technologies Ag Method for debugging reconfigurable architectures
CA2166369C (en) 1995-12-29 2004-10-19 Robert J. Blainey Method and system for determining inter-compilation unit alias information
JP3247043B2 (ja) 1996-01-12 2002-01-15 株式会社日立製作所 内部信号で障害検出を行う情報処理システムおよび論理lsi
US6049859A (en) 1996-01-15 2000-04-11 Siemens Aktiengesellschaft Image-processing processor
US5760602A (en) 1996-01-17 1998-06-02 Hewlett-Packard Company Time multiplexing a plurality of configuration settings of a programmable switch element in a FPGA
JP2795244B2 (ja) 1996-01-17 1998-09-10 日本電気株式会社 プログラムデバッグシステム
US6247036B1 (en) 1996-01-22 2001-06-12 Infinite Technology Corp. Processor with reconfigurable arithmetic data path
US5854918A (en) 1996-01-24 1998-12-29 Ricoh Company Ltd. Apparatus and method for self-timed algorithmic execution
US5898602A (en) 1996-01-25 1999-04-27 Xilinx, Inc. Carry chain circuit with flexible carry function for implementing arithmetic and logical functions
US5936424A (en) 1996-02-02 1999-08-10 Xilinx, Inc. High speed bus with tree structure for selecting bus driver
US5635851A (en) 1996-02-02 1997-06-03 Xilinx, Inc. Read and writable data bus particularly for programmable logic devices
US5727229A (en) 1996-02-05 1998-03-10 Motorola, Inc. Method and apparatus for moving data in a parallel processor
US5754459A (en) 1996-02-08 1998-05-19 Xilinx, Inc. Multiplier circuit design for a programmable logic device
KR0165515B1 (ko) * 1996-02-17 1999-01-15 김광호 그래픽 데이터의 선입선출기 및 선입선출 방법
GB9604496D0 (en) 1996-03-01 1996-05-01 Xilinx Inc Embedded memory for field programmable gate array
US6020758A (en) * 1996-03-11 2000-02-01 Altera Corporation Partially reconfigurable programmable logic device
US5841973A (en) 1996-03-13 1998-11-24 Cray Research, Inc. Messaging in distributed memory multiprocessing system having shell circuitry for atomic control of message storage queue's tail pointer structure in local memory
US6279077B1 (en) 1996-03-22 2001-08-21 Texas Instruments Incorporated Bus interface buffer control in a microprocessor
US6311265B1 (en) 1996-03-25 2001-10-30 Torrent Systems, Inc. Apparatuses and methods for programming parallel computers
US6154049A (en) 1998-03-27 2000-11-28 Xilinx, Inc. Multiplier fabric for use in field programmable gate arrays
US5956518A (en) 1996-04-11 1999-09-21 Massachusetts Institute Of Technology Intermediate-grain reconfigurable processing device
US5687325A (en) 1996-04-19 1997-11-11 Chang; Web Application specific field programmable gate array
US6173434B1 (en) * 1996-04-22 2001-01-09 Brigham Young University Dynamically-configurable digital processor using method for relocating logic array modules
US5960200A (en) 1996-05-03 1999-09-28 I-Cube System to transition an enterprise to a distributed infrastructure
US5894565A (en) * 1996-05-20 1999-04-13 Atmel Corporation Field programmable gate array with distributed RAM and increased cell utilization
US5784636A (en) 1996-05-28 1998-07-21 National Semiconductor Corporation Reconfigurable computer architecture for use in signal processing applications
JP2000513523A (ja) * 1996-06-21 2000-10-10 オーガニック システムズ インコーポレイテッド プロセスの即時制御を行う動的に再構成可能なハードウェアシステム
US5892370A (en) * 1996-06-21 1999-04-06 Quicklogic Corporation Clock network for field programmable gate array
US5893165A (en) 1996-07-01 1999-04-06 Sun Microsystems, Inc. System and method for parallel execution of memory transactions using multiple memory models, including SSO, TSO, PSO and RMO
US6785826B1 (en) 1996-07-17 2004-08-31 International Business Machines Corporation Self power audit and control circuitry for microprocessor functional units
US6526461B1 (en) 1996-07-18 2003-02-25 Altera Corporation Interconnect chip for programmable logic devices
US6023742A (en) * 1996-07-18 2000-02-08 University Of Washington Reconfigurable computing architecture for providing pipelined data paths
US6023564A (en) 1996-07-19 2000-02-08 Xilinx, Inc. Data processing system using a flash reconfigurable logic device as a dynamic execution unit for a sequence of instructions
US5774704A (en) 1996-07-29 1998-06-30 Silicon Graphics, Inc. Apparatus and method for dynamic central processing unit clock adjustment
KR100280285B1 (ko) 1996-08-19 2001-02-01 윤종용 멀티미디어 신호에 적합한 멀티미디어 프로세서
US6058465A (en) 1996-08-19 2000-05-02 Nguyen; Le Trong Single-instruction-multiple-data processing in a multimedia signal processor
US5838165A (en) 1996-08-21 1998-11-17 Chatter; Mukesh High performance self modifying on-the-fly alterable logic FPGA, architecture and method
US5933023A (en) 1996-09-03 1999-08-03 Xilinx, Inc. FPGA architecture having RAM blocks with programmable word length and width and dedicated address and data lines
US6624658B2 (en) * 1999-02-04 2003-09-23 Advantage Logic, Inc. Method and apparatus for universal program controlled bus architecture
US5859544A (en) * 1996-09-05 1999-01-12 Altera Corporation Dynamic configurable elements for programmable logic devices
US6049866A (en) 1996-09-06 2000-04-11 Silicon Graphics, Inc. Method and system for an efficient user mode cache manipulation using a simulated instruction
JP3934710B2 (ja) 1996-09-13 2007-06-20 株式会社ルネサステクノロジ マイクロプロセッサ
US5828858A (en) 1996-09-16 1998-10-27 Virginia Tech Intellectual Properties, Inc. Worm-hole run-time reconfigurable processor field programmable gate array (FPGA)
US6209020B1 (en) 1996-09-20 2001-03-27 Nortel Networks Limited Distributed pipeline memory architecture for a computer system with even and odd pids
US6178494B1 (en) 1996-09-23 2001-01-23 Virtual Computer Corporation Modular, hybrid processor and method for producing a modular, hybrid processor
US5805477A (en) 1996-09-26 1998-09-08 Hewlett-Packard Company Arithmetic cell for field programmable devices
US5694602A (en) 1996-10-01 1997-12-02 The United States Of America As Represented By The Secretary Of The Air Force Weighted system and method for spatial allocation of a parallel load
SG125044A1 (en) 1996-10-14 2006-09-29 Mitsubishi Gas Chemical Co Oxygen absorption composition
US5832288A (en) 1996-10-18 1998-11-03 Samsung Electronics Co., Ltd. Element-select mechanism for a vector processor
US5901279A (en) 1996-10-18 1999-05-04 Hughes Electronics Corporation Connection of spares between multiple programmable devices
US5892962A (en) * 1996-11-12 1999-04-06 Lucent Technologies Inc. FPGA-based processor
US5844422A (en) 1996-11-13 1998-12-01 Xilinx, Inc. State saving and restoration in reprogrammable FPGAs
US5895487A (en) 1996-11-13 1999-04-20 International Business Machines Corporation Integrated processing and L2 DRAM cache
US5860119A (en) 1996-11-25 1999-01-12 Vlsi Technology, Inc. Data-packet fifo buffer system with end-of-packet flags
US6005410A (en) 1996-12-05 1999-12-21 International Business Machines Corporation Interconnect structure between heterogeneous core regions in a programmable array
US5913925A (en) 1996-12-16 1999-06-22 International Business Machines Corporation Method and system for constructing a program including out-of-order threads and processor and method for executing threads out-of-order
DE19654595A1 (de) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh I0- und Speicherbussystem für DFPs sowie Bausteinen mit zwei- oder mehrdimensionaler programmierbaren Zellstrukturen
US6338106B1 (en) 1996-12-20 2002-01-08 Pact Gmbh I/O and memory bus system for DFPS and units with two or multi-dimensional programmable cell architectures
DE19654593A1 (de) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh Umkonfigurierungs-Verfahren für programmierbare Bausteine zur Laufzeit
DE19654846A1 (de) 1996-12-27 1998-07-09 Pact Inf Tech Gmbh Verfahren zum selbständigen dynamischen Umladen von Datenflußprozessoren (DFPs) sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstrukturen (FPGAs, DPGAs, o. dgl.)
US6427156B1 (en) 1997-01-21 2002-07-30 Xilinx, Inc. Configurable logic block with AND gate for efficient multiplication in FPGAS
EP0858168A1 (de) 1997-01-29 1998-08-12 Hewlett-Packard Company Feldprogrammierbarer Gatterprozessor
EP0858167A1 (de) 1997-01-29 1998-08-12 Hewlett-Packard Company Feldprogrammierbarer Prozessor
DE19704044A1 (de) * 1997-02-04 1998-08-13 Pact Inf Tech Gmbh Verfahren zur automatischen Adressgenerierung von Bausteinen innerhalb Clustern aus einer Vielzahl dieser Bausteine
US5865239A (en) * 1997-02-05 1999-02-02 Micropump, Inc. Method for making herringbone gears
US6055619A (en) 1997-02-07 2000-04-25 Cirrus Logic, Inc. Circuits, system, and methods for processing multiple data streams
DE19704728A1 (de) 1997-02-08 1998-08-13 Pact Inf Tech Gmbh Verfahren zur Selbstsynchronisation von konfigurierbaren Elementen eines programmierbaren Bausteines
US6542998B1 (en) 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
DE19704742A1 (de) 1997-02-11 1998-09-24 Pact Inf Tech Gmbh Internes Bussystem für DFPs, sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstrukturen, zur Bewältigung großer Datenmengen mit hohem Vernetzungsaufwand
JP3730740B2 (ja) 1997-02-24 2006-01-05 株式会社日立製作所 並列ジョブ多重スケジューリング方法
US6150837A (en) 1997-02-28 2000-11-21 Actel Corporation Enhanced field programmable gate array
WO1998038958A1 (en) 1997-03-05 1998-09-11 Massachusetts Institute Of Technology A reconfigurable footprint mechanism for omnidirectional vehicles
US5857097A (en) * 1997-03-10 1999-01-05 Digital Equipment Corporation Method for identifying reasons for dynamic stall cycles during the execution of a program
US6125408A (en) 1997-03-10 2000-09-26 Compaq Computer Corporation Resource type prioritization in generating a device configuration
US5884075A (en) * 1997-03-10 1999-03-16 Compaq Computer Corporation Conflict resolution using self-contained virtual devices
GB2323188B (en) 1997-03-14 2002-02-06 Nokia Mobile Phones Ltd Enabling and disabling clocking signals to elements
US6085317A (en) 1997-08-15 2000-07-04 Altera Corporation Reconfigurable computer architecture using programmable logic devices
AUPO647997A0 (en) 1997-04-30 1997-05-22 Canon Information Systems Research Australia Pty Ltd Memory controller architecture
US6507898B1 (en) 1997-04-30 2003-01-14 Canon Kabushiki Kaisha Reconfigurable data cache controller
US6389379B1 (en) 1997-05-02 2002-05-14 Axis Systems, Inc. Converification system and method
US6321366B1 (en) 1997-05-02 2001-11-20 Axis Systems, Inc. Timing-insensitive glitch-free logic system and method
US6035371A (en) * 1997-05-28 2000-03-07 3Com Corporation Method and apparatus for addressing a static random access memory device based on signals for addressing a dynamic memory access device
US6047115A (en) 1997-05-29 2000-04-04 Xilinx, Inc. Method for configuring FPGA memory planes for virtual hardware computation
US6421817B1 (en) 1997-05-29 2002-07-16 Xilinx, Inc. System and method of computation in a programmable logic device using virtual instructions
US6385672B1 (en) 1997-05-30 2002-05-07 3Com Corporation System to optimize packet buffer utilization via selectively partitioned transmit and receive buffer portions
US6339840B1 (en) 1997-06-02 2002-01-15 Iowa State University Research Foundation, Inc. Apparatus and method for parallelizing legacy computer code
US6011407A (en) * 1997-06-13 2000-01-04 Xilinx, Inc. Field programmable gate array with dedicated computer bus interface and method for configuring both
US5996048A (en) 1997-06-20 1999-11-30 Sun Microsystems, Inc. Inclusion vector architecture for a level two cache
US6058266A (en) 1997-06-24 2000-05-02 International Business Machines Corporation Method of, system for, and computer program product for performing weighted loop fusion by an optimizing compiler
US5838988A (en) 1997-06-25 1998-11-17 Sun Microsystems, Inc. Computer product for precise architectural update in an out-of-order processor
US6240502B1 (en) 1997-06-25 2001-05-29 Sun Microsystems, Inc. Apparatus for dynamically reconfiguring a processor
US5966534A (en) 1997-06-27 1999-10-12 Cooke; Laurence H. Method for compiling high level programming languages into an integrated processor with reconfigurable logic
US6072348A (en) 1997-07-09 2000-06-06 Xilinx, Inc. Programmable power reduction in a clock-distribution circuit
US6437441B1 (en) 1997-07-10 2002-08-20 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure
US6020760A (en) * 1997-07-16 2000-02-01 Altera Corporation I/O buffer circuit with pin multiplexing
EP0892352B1 (de) 1997-07-18 2005-04-13 Bull S.A. Rechnersystem mit einem geteilten strukturierten Bus
US6282701B1 (en) 1997-07-31 2001-08-28 Mutek Solutions, Ltd. System and method for monitoring and analyzing the execution of computer programs
US6026478A (en) 1997-08-01 2000-02-15 Micron Technology, Inc. Split embedded DRAM processor
US6170051B1 (en) * 1997-08-01 2001-01-02 Micron Technology, Inc. Apparatus and method for program level parallelism in a VLIW processor
US6078736A (en) 1997-08-28 2000-06-20 Xilinx, Inc. Method of designing FPGAs for dynamically reconfigurable computing
US6038656A (en) * 1997-09-12 2000-03-14 California Institute Of Technology Pipelined completion for asynchronous communication
JP3636871B2 (ja) 1997-09-16 2005-04-06 株式会社日立製作所 並列プロセッサシステム
JP3612186B2 (ja) 1997-09-19 2005-01-19 株式会社ルネサステクノロジ データ処理装置
US6539415B1 (en) 1997-09-24 2003-03-25 Sony Corporation Method and apparatus for the allocation of audio/video tasks in a network system
US6148407A (en) 1997-09-30 2000-11-14 Intel Corporation Method and apparatus for producing computer platform fingerprints
US5966143A (en) 1997-10-14 1999-10-12 Motorola, Inc. Data allocation into multiple memories for concurrent access
US6034542A (en) 1997-10-14 2000-03-07 Xilinx, Inc. Bus structure for modularized chip with FPGA modules
US5870544A (en) * 1997-10-20 1999-02-09 International Business Machines Corporation Method and apparatus for creating a secure connection between a java applet and a web server
SG82587A1 (en) 1997-10-21 2001-08-21 Sony Corp Recording apparatus, recording method, playback apparatus, playback method, recording/playback apparatus, recording/playback method, presentation medium and recording medium
US6212544B1 (en) 1997-10-23 2001-04-03 International Business Machines Corporation Altering thread priorities in a multithreaded processor
JP4128251B2 (ja) 1997-10-23 2008-07-30 富士通株式会社 配線密度予測方法およびセル配置装置
US6076157A (en) 1997-10-23 2000-06-13 International Business Machines Corporation Method and apparatus to force a thread switch in a multithreaded processor
US6209065B1 (en) 1997-10-24 2001-03-27 Compaq Computer Corporation Mechanism for optimizing generation of commit-signals in a distributed shared-memory system
US6108737A (en) 1997-10-24 2000-08-22 Compaq Computer Corporation Method and apparatus for reducing latency of inter-reference ordering in a multiprocessor system
US6247147B1 (en) 1997-10-27 2001-06-12 Altera Corporation Enhanced embedded logic analyzer
US5915123A (en) 1997-10-31 1999-06-22 Silicon Spice Method and apparatus for controlling configuration memory contexts of processing elements in a network of multiple context processing elements
US6108760A (en) 1997-10-31 2000-08-22 Silicon Spice Method and apparatus for position independent reconfiguration in a network of multiple context processing elements
US6122719A (en) 1997-10-31 2000-09-19 Silicon Spice Method and apparatus for retiming in a network of multiple context processing elements
US6127908A (en) 1997-11-17 2000-10-03 Massachusetts Institute Of Technology Microelectro-mechanical system actuator device and reconfigurable circuits utilizing same
JPH11147335A (ja) 1997-11-18 1999-06-02 Fuji Xerox Co Ltd 描画処理装置
JP4197755B2 (ja) * 1997-11-19 2008-12-17 富士通株式会社 信号伝送システム、該信号伝送システムのレシーバ回路、および、該信号伝送システムが適用される半導体記憶装置
US6212650B1 (en) 1997-11-24 2001-04-03 Xilinx, Inc. Interactive dubug tool for programmable circuits
US6237059B1 (en) 1997-11-26 2001-05-22 Compaq Computer Corporation Method for estimating statistics of properties of memory system interactions among contexts in a computer system
US6075935A (en) 1997-12-01 2000-06-13 Improv Systems, Inc. Method of generating application specific integrated circuits using a programmable hardware architecture
US6091263A (en) 1997-12-12 2000-07-18 Xilinx, Inc. Rapidly reconfigurable FPGA having a multiple region architecture with reconfiguration caches useable as data RAM
DE69827589T2 (de) * 1997-12-17 2005-11-03 Elixent Ltd. Konfigurierbare Verarbeitungsanordnung und Verfahren zur Benutzung dieser Anordnung, um eine Zentraleinheit aufzubauen
DE69841256D1 (de) * 1997-12-17 2009-12-10 Panasonic Corp Befehlsmaskierung um Befehlsströme einem Prozessor zuzuleiten
EP0926594B1 (de) 1997-12-17 2007-05-23 Hewlett-Packard Company, A Delaware Corporation Erst- und Zweitprozessoren verwendendes Verfahren
JP2003526129A (ja) 1997-12-17 2003-09-02 エリクセントリミティド プログラマブル・アレイにおける乗算器の実現
DE19861088A1 (de) 1997-12-22 2000-02-10 Pact Inf Tech Gmbh Verfahren zur Reparatur von integrierten Schaltkreisen
US6172520B1 (en) * 1997-12-30 2001-01-09 Xilinx, Inc. FPGA system with user-programmable configuration ports and method for reconfiguring the FPGA
US6260114B1 (en) 1997-12-30 2001-07-10 Mcmz Technology Innovations, Llc Computer cache memory windowing
US6049222A (en) 1997-12-30 2000-04-11 Xilinx, Inc Configuring an FPGA using embedded memory
US6301706B1 (en) 1997-12-31 2001-10-09 Elbrus International Limited Compiler method and apparatus for elimination of redundant speculative computations from innermost loops
US6105106A (en) 1997-12-31 2000-08-15 Micron Technology, Inc. Computer system, memory device and shift register including a balanced switching circuit with series connected transfer gates which are selectively clocked for fast switching times
US6216223B1 (en) 1998-01-12 2001-04-10 Billions Of Operations Per Second, Inc. Methods and apparatus to dynamically reconfigure the instruction pipeline of an indirect very long instruction word scalable processor
US6034538A (en) * 1998-01-21 2000-03-07 Lucent Technologies Inc. Virtual logic system for reconfigurable hardware
US6038646A (en) 1998-01-23 2000-03-14 Sun Microsystems, Inc. Method and apparatus for enforcing ordered execution of reads and writes across a memory interface
US6230307B1 (en) 1998-01-26 2001-05-08 Xilinx, Inc. System and method for programming the hardware of field programmable gate arrays (FPGAs) and related reconfiguration resources as if they were software by creating hardware objects
AU2562899A (en) 1998-01-26 1999-08-09 Chameleon Systems, Inc. Reconfigurable logic for table lookup
US6034545A (en) * 1998-01-30 2000-03-07 Arm Limited Macrocell for data processing circuit
DE19803593A1 (de) 1998-01-30 1999-08-12 Daimler Chrysler Ag Schaltvorrichtung für ein Zahnräderwechselgetriebe
US6141734A (en) 1998-02-03 2000-10-31 Compaq Computer Corporation Method and apparatus for optimizing the performance of LDxL and STxC interlock instructions in the context of a write invalidate protocol
EP0945788B1 (de) 1998-02-04 2004-08-04 Texas Instruments Inc. Datenverarbeitungssytem mit einem digitalen Signalprozessor und einem Koprozessor und Datenverarbeitungsverfahren
US7152027B2 (en) 1998-02-17 2006-12-19 National Instruments Corporation Reconfigurable test system
US6086628A (en) 1998-02-17 2000-07-11 Lucent Technologies Inc. Power-related hardware-software co-synthesis of heterogeneous distributed embedded systems
US6198304B1 (en) * 1998-02-23 2001-03-06 Xilinx, Inc. Programmable logic device
US6096091A (en) 1998-02-24 2000-08-01 Advanced Micro Devices, Inc. Dynamically reconfigurable logic networks interconnected by fall-through FIFOs for flexible pipeline processing in a system-on-a-chip
DE19807872A1 (de) * 1998-02-25 1999-08-26 Pact Inf Tech Gmbh Verfahren zur Verwaltung von Konfigurationsdaten in Datenflußprozessoren sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstruktur (FPGAs, DPGAs, o. dgl.
US6088800A (en) 1998-02-27 2000-07-11 Mosaid Technologies, Incorporated Encryption processor with shared memory interconnect
FR2776093A1 (fr) 1998-03-10 1999-09-17 Philips Electronics Nv Circuit processeur programmable muni d'une memoire reconfigurable, pour realiser un filtre numerique
US6124868A (en) 1998-03-24 2000-09-26 Ati Technologies, Inc. Method and apparatus for multiple co-processor utilization of a ring buffer
US5990910A (en) 1998-03-24 1999-11-23 Ati Technologies, Inc. Method and apparatus for co-processing multi-formatted data
US6298043B1 (en) 1998-03-28 2001-10-02 Nortel Networks Limited Communication system architecture and a connection verification mechanism therefor
US6374286B1 (en) 1998-04-06 2002-04-16 Rockwell Collins, Inc. Real time processor capable of concurrently running multiple independent JAVA machines
US6456628B1 (en) 1998-04-17 2002-09-24 Intelect Communications, Inc. DSP intercommunication network
US6421808B1 (en) 1998-04-24 2002-07-16 Cadance Design Systems, Inc. Hardware design language for the design of integrated circuits
US6084429A (en) 1998-04-24 2000-07-04 Xilinx, Inc. PLD having a window pane architecture with segmented and staggered interconnect wiring between logic block arrays
US6119219A (en) 1998-04-30 2000-09-12 International Business Machines Corporation System serialization with early release of individual processor
US6173419B1 (en) * 1998-05-14 2001-01-09 Advanced Technology Materials, Inc. Field programmable gate array (FPGA) emulator for debugging software
US6052524A (en) 1998-05-14 2000-04-18 Software Development Systems, Inc. System and method for simulation of integrated hardware and software components
US6449283B1 (en) 1998-05-15 2002-09-10 Polytechnic University Methods and apparatus for providing a fast ring reservation arbitration
US5999990A (en) 1998-05-18 1999-12-07 Motorola, Inc. Communicator having reconfigurable resources
US6286090B1 (en) 1998-05-26 2001-09-04 Compaq Computer Corporation Mechanism for selectively imposing interference order between page-table fetches and corresponding data fetches
US6298396B1 (en) 1998-06-01 2001-10-02 Advanced Micro Devices, Inc. System for loading a current buffer desciptor register with a value different from current value to cause a previously read buffer descriptor to be read again
JP3123977B2 (ja) * 1998-06-04 2001-01-15 日本電気株式会社 プログラマブル機能ブロック
US6282627B1 (en) 1998-06-29 2001-08-28 Chameleon Systems, Inc. Integrated processor and programmable data path chip for reconfigurable computing
US6202182B1 (en) * 1998-06-30 2001-03-13 Lucent Technologies Inc. Method and apparatus for testing field programmable gate arrays
EP0978944B1 (de) 1998-07-06 2002-01-02 Hewlett-Packard Company, A Delaware Corporation Verdrahtung von Zellen in logischen Feldern
WO2000003516A1 (en) 1998-07-08 2000-01-20 Broadcom Corporation Network switching architecture with multiple table synchronization, and forwarding of both ip and ipx packets
WO2001006371A1 (en) 1998-07-21 2001-01-25 Seagate Technology Llc Improved memory system apparatus and method
EP0974898A3 (de) 1998-07-24 2008-12-24 Interuniversitair Microelektronica Centrum Vzw Verfahren zur Bestimmung einer speicherbandbreiteoptimierten Speicherorganisation von einer im wesentlichen digitalen Vorrichtung
US6137307A (en) * 1998-08-04 2000-10-24 Xilinx, Inc. Structure and method for loading wide frames of data from a narrow input bus
US6321296B1 (en) 1998-08-04 2001-11-20 International Business Machines Corporation SDRAM L3 cache using speculative loads with command aborts to lower latency
DE19835189C2 (de) 1998-08-04 2001-02-08 Unicor Rohrsysteme Gmbh Vorrichtung zur kontinuierlichen Herstellung von nahtlosen Kunststoffrohren
US6289369B1 (en) 1998-08-25 2001-09-11 International Business Machines Corporation Affinity, locality, and load balancing in scheduling user program-level threads for execution by a computer system
US20020152060A1 (en) 1998-08-31 2002-10-17 Tseng Ping-Sheng Inter-chip communication system
US7100026B2 (en) 2001-05-30 2006-08-29 The Massachusetts Institute Of Technology System and method for performing efficient conditional vector operations for data parallel architectures involving both input and conditional vector values
US6205458B1 (en) 1998-09-21 2001-03-20 Rn2R, L.L.C. Adder and multiplier circuits employing logic gates having discrete, weighted inputs and methods of performing combinatorial operations therewith
US6216174B1 (en) 1998-09-29 2001-04-10 Silicon Graphics, Inc. System and method for fast barrier synchronization
US6421757B1 (en) 1998-09-30 2002-07-16 Conexant Systems, Inc Method and apparatus for controlling the programming and erasing of flash memory
JP3551353B2 (ja) 1998-10-02 2004-08-04 株式会社日立製作所 データ再配置方法
US6467009B1 (en) 1998-10-14 2002-10-15 Triscend Corporation Configurable processor system unit
US6215326B1 (en) 1998-11-18 2001-04-10 Altera Corporation Programmable logic device architecture with super-regions having logic regions and a memory region
EP1351154A2 (de) 1998-11-20 2003-10-08 Altera Corporation Rechnersystem mit rekonfigurierbarer programmierbarer Logik-Vorrichtung
US6977649B1 (en) 1998-11-23 2005-12-20 3Dlabs, Inc. Ltd 3D graphics rendering with selective read suspend
US6249756B1 (en) 1998-12-07 2001-06-19 Compaq Computer Corp. Hybrid flow control
US6826763B1 (en) 1998-12-11 2004-11-30 Microsoft Corporation Accelerating a distributed component architecture over a network using a direct marshaling
US6044030A (en) * 1998-12-21 2000-03-28 Philips Electronics North America Corporation FIFO unit with single pointer
US6434695B1 (en) 1998-12-23 2002-08-13 Apple Computer, Inc. Computer operating system using compressed ROM image in RAM
US6694434B1 (en) 1998-12-23 2004-02-17 Entrust Technologies Limited Method and apparatus for controlling program execution and program distribution
US6757847B1 (en) 1998-12-29 2004-06-29 International Business Machines Corporation Synchronization for system analysis
US6496902B1 (en) 1998-12-31 2002-12-17 Cray Inc. Vector and scalar data cache for a vector multiprocessor
US6218876B1 (en) * 1999-01-08 2001-04-17 Altera Corporation Phase-locked loop circuitry for programmable logic devices
JP3585800B2 (ja) 1999-01-13 2004-11-04 株式会社東芝 情報処理装置
US6539438B1 (en) 1999-01-15 2003-03-25 Quickflex Inc. Reconfigurable computing system and method and apparatus employing same
US6490695B1 (en) 1999-01-22 2002-12-03 Sun Microsystems, Inc. Platform independent memory image analysis architecture for debugging a computer program
US6321298B1 (en) 1999-01-25 2001-11-20 International Business Machines Corporation Full cache coherency across multiple raid controllers
US6226717B1 (en) 1999-02-04 2001-05-01 Compaq Computer Corporation System and method for exclusive access to shared storage
DE10028397A1 (de) 2000-06-13 2001-12-20 Pact Inf Tech Gmbh Registrierverfahren
US6243808B1 (en) 1999-03-08 2001-06-05 Chameleon Systems, Inc. Digital data bit order conversion using universal switch matrix comprising rows of bit swapping selector groups
US6191614B1 (en) 1999-04-05 2001-02-20 Xilinx, Inc. FPGA configuration circuit including bus-based CRC register
US6512804B1 (en) * 1999-04-07 2003-01-28 Applied Micro Circuits Corporation Apparatus and method for multiple serial data synchronization using channel-lock FIFO buffers optimized for jitter
GB9909196D0 (en) 1999-04-21 1999-06-16 Texas Instruments Ltd Transfer controller with hub and ports architecture
US6286134B1 (en) 1999-04-23 2001-09-04 Sun Microsystems, Inc. Instruction selection in a multi-platform environment
US6381624B1 (en) 1999-04-29 2002-04-30 Hewlett-Packard Company Faster multiply/accumulator
US6298472B1 (en) 1999-05-07 2001-10-02 Chameleon Systems, Inc. Behavioral silicon construct architecture and mapping
US6748440B1 (en) 1999-05-12 2004-06-08 Microsoft Corporation Flow of streaming data through multiple processing modules
US7007096B1 (en) * 1999-05-12 2006-02-28 Microsoft Corporation Efficient splitting and mixing of streaming-data frames for processing through multiple processing modules
US6211697B1 (en) 1999-05-25 2001-04-03 Actel Integrated circuit that includes a field-programmable gate array and a hard gate array having the same underlying structure
DE19926538A1 (de) 1999-06-10 2000-12-14 Pact Inf Tech Gmbh Hardware und Betriebsverfahren
EP1061439A1 (de) 1999-06-15 2000-12-20 Hewlett-Packard Company Speicher und Befehlen in Rechnerarchitektur mit Prozessor und Coprozessor
US6757892B1 (en) 1999-06-24 2004-06-29 Sarnoff Corporation Method for determining an optimal partitioning of data among several memories
US6347346B1 (en) * 1999-06-30 2002-02-12 Chameleon Systems, Inc. Local memory unit system with global access for use on reconfigurable chips
JP3420121B2 (ja) 1999-06-30 2003-06-23 Necエレクトロニクス株式会社 不揮発性半導体記憶装置
GB2352548B (en) * 1999-07-26 2001-06-06 Sun Microsystems Inc Method and apparatus for executing standard functions in a computer system
US6745317B1 (en) 1999-07-30 2004-06-01 Broadcom Corporation Three level direct communication connections between neighboring multiple context processing elements
US6370596B1 (en) 1999-08-03 2002-04-09 Chameleon Systems, Inc. Logic flag registers for monitoring processing system events
US6341318B1 (en) * 1999-08-10 2002-01-22 Chameleon Systems, Inc. DMA data streaming
US6204687B1 (en) * 1999-08-13 2001-03-20 Xilinx, Inc. Method and structure for configuring FPGAS
US6507947B1 (en) * 1999-08-20 2003-01-14 Hewlett-Packard Company Programmatic synthesis of processor element arrays
US6438747B1 (en) 1999-08-20 2002-08-20 Hewlett-Packard Company Programmatic iteration scheduling for parallel processors
US6606704B1 (en) 1999-08-31 2003-08-12 Intel Corporation Parallel multithreaded processor with plural microengines executing multiple threads each microengine having loadable microcode
US6457100B1 (en) 1999-09-15 2002-09-24 International Business Machines Corporation Scaleable shared-memory multi-processor computer system having repetitive chip structure with efficient busing and coherence controls
US6311200B1 (en) 1999-09-23 2001-10-30 Chameleon Systems, Inc. Reconfigurable program sum of products generator
US6288566B1 (en) 1999-09-23 2001-09-11 Chameleon Systems, Inc. Configuration state memory for functional blocks on a reconfigurable chip
US6349346B1 (en) * 1999-09-23 2002-02-19 Chameleon Systems, Inc. Control fabric unit including associated configuration memory and PSOP state machine adapted to provide configuration address to reconfigurable functional unit
US6631487B1 (en) 1999-09-27 2003-10-07 Lattice Semiconductor Corp. On-line testing of field programmable gate array resources
DE19946752A1 (de) 1999-09-29 2001-04-12 Infineon Technologies Ag Rekonfigurierbares Gate-Array
US6598128B1 (en) 1999-10-01 2003-07-22 Hitachi, Ltd. Microprocessor having improved memory management unit and cache memory
US6412043B1 (en) 1999-10-01 2002-06-25 Hitachi, Ltd. Microprocessor having improved memory management unit and cache memory
US6665758B1 (en) 1999-10-04 2003-12-16 Ncr Corporation Software sanity monitor
US6526430B1 (en) 1999-10-04 2003-02-25 Texas Instruments Incorporated Reconfigurable SIMD coprocessor architecture for sum of absolute differences and symmetric filtering (scalable MAC engine for image processing)
US6434642B1 (en) 1999-10-07 2002-08-13 Xilinx, Inc. FIFO memory system and method with improved determination of full and empty conditions and amount of data stored
EP1230591B1 (de) 1999-11-18 2007-01-03 Sun Microsystems, Inc. Bit-dekompression-verarbeitung mit einem viekseitigen ausrichten-werkzeug
JP2001167066A (ja) 1999-12-08 2001-06-22 Nec Corp プロセッサ間通信方法及びマルチプロセッサシステム
US6501999B1 (en) 1999-12-22 2002-12-31 Intel Corporation Multi-processor mobile computer system having one processor integrated with a chipset
US6625654B1 (en) * 1999-12-28 2003-09-23 Intel Corporation Thread signaling in multi-threaded network processor
US6633181B1 (en) * 1999-12-30 2003-10-14 Stretch, Inc. Multi-scale programmable array
DE60138145D1 (de) 2000-01-07 2009-05-07 Nippon Telegraph & Telephone Funktionsrekonfigurierbare Halbleitervorrichtung und integrierte Schaltung zum Konfigurieren der Halbleitervorrichtung
JP2001202236A (ja) 2000-01-20 2001-07-27 Fuji Xerox Co Ltd プログラマブル論理回路装置によるデータ処理方法、プログラマブル論理回路装置、情報処理システム、プログラマブル論理回路装置への回路再構成方法
US6701431B2 (en) 2000-01-28 2004-03-02 Infineon Technologies Ag Method of generating a configuration for a configurable spread spectrum communication device
US6925641B1 (en) 2000-02-04 2005-08-02 Xronix Communications, Inc. Real time DSP load management system
US6496971B1 (en) 2000-02-07 2002-12-17 Xilinx, Inc. Supporting multiple FPGA configuration modes using dedicated on-chip processor
US6487709B1 (en) 2000-02-09 2002-11-26 Xilinx, Inc. Run-time routing for programmable logic devices
US7036106B1 (en) 2000-02-17 2006-04-25 Tensilica, Inc. Automated processor generation system for designing a configurable processor and method for the same
US6519674B1 (en) * 2000-02-18 2003-02-11 Chameleon Systems, Inc. Configuration bits layout
US6865663B2 (en) 2000-02-24 2005-03-08 Pts Corporation Control processor dynamically loading shadow instruction register associated with memory entry of coprocessor in flexible coupling mode
JP3674515B2 (ja) 2000-02-25 2005-07-20 日本電気株式会社 アレイ型プロセッサ
US6434672B1 (en) 2000-02-29 2002-08-13 Hewlett-Packard Company Methods and apparatus for improving system performance with a shared cache memory
US6539477B1 (en) * 2000-03-03 2003-03-25 Chameleon Systems, Inc. System and method for control synthesis using a reachable states look-up table
KR100841411B1 (ko) 2000-03-14 2008-06-25 소니 가부시끼 가이샤 전송장치, 수신장치, 전송방법, 수신방법과 기록매체
US6657457B1 (en) 2000-03-15 2003-12-02 Intel Corporation Data transfer on reconfigurable chip
US6871341B1 (en) 2000-03-24 2005-03-22 Intel Corporation Adaptive scheduling of function cells in dynamic reconfigurable logic
US6665865B1 (en) 2000-04-27 2003-12-16 Microsoft Corporation Equivalence class based synchronization optimization
US6624819B1 (en) 2000-05-01 2003-09-23 Broadcom Corporation Method and system for providing a flexible and efficient processor for use in a graphics processing system
US6845445B2 (en) 2000-05-12 2005-01-18 Pts Corporation Methods and apparatus for power control in a scalable array of processor elements
US6362650B1 (en) * 2000-05-18 2002-03-26 Xilinx, Inc. Method and apparatus for incorporating a multiplier into an FPGA
US6373779B1 (en) 2000-05-19 2002-04-16 Xilinx, Inc. Block RAM having multiple configurable write modes for use in a field programmable gate array
US6725334B2 (en) 2000-06-09 2004-04-20 Hewlett-Packard Development Company, L.P. Method and system for exclusive two-level caching in a chip-multiprocessor
US6675265B2 (en) 2000-06-10 2004-01-06 Hewlett-Packard Development Company, L.P. Multiprocessor cache coherence system and method in which processor nodes and input/output nodes are equal participants
US7340596B1 (en) 2000-06-12 2008-03-04 Altera Corporation Embedded processor with watchdog timer for programmable logic
DE50115584D1 (de) * 2000-06-13 2010-09-16 Krass Maren Pipeline ct-protokolle und -kommunikation
US6285624B1 (en) 2000-07-08 2001-09-04 Han-Ping Chen Multilevel memory access method
US6799265B1 (en) 2000-07-11 2004-09-28 Intel Corporation Dependency checking for reconfigurable logic
US20030028690A1 (en) 2000-07-20 2003-02-06 John Appleby-Alis System, method and article of manufacture for a reconfigurable hardware-based multimedia device
DE10036627A1 (de) 2000-07-24 2002-02-14 Pact Inf Tech Gmbh Integrierter Schaltkreis
DE10129237A1 (de) 2000-10-09 2002-04-18 Pact Inf Tech Gmbh Verfahren zur Bearbeitung von Daten
JP2002041489A (ja) 2000-07-25 2002-02-08 Mitsubishi Electric Corp 同期信号生成回路、それを用いたプロセッサシステムおよび同期信号生成方法
US7164422B1 (en) 2000-07-28 2007-01-16 Ab Initio Software Corporation Parameterized graphs with conditional components
US6538468B1 (en) * 2000-07-31 2003-03-25 Cypress Semiconductor Corporation Method and apparatus for multiple boot-up functionalities for a programmable logic device (PLD)
US7924837B1 (en) 2000-07-31 2011-04-12 Avaya Communication Israel Ltd. IP multicast in VLAN environment
US6542844B1 (en) 2000-08-02 2003-04-01 International Business Machines Corporation Method and apparatus for tracing hardware states using dynamically reconfigurable test circuits
US6754805B1 (en) 2000-08-07 2004-06-22 Transwitch Corporation Method and apparatus for configurable multi-cell digital signal processing employing global parallel configuration
EP1356400A2 (de) 2000-08-07 2003-10-29 Altera Corporation Kommunikationsschnittstelle zwischen geräten
EP1182559B1 (de) 2000-08-21 2009-01-21 Texas Instruments Incorporated Mikroprozessor
US7249351B1 (en) 2000-08-30 2007-07-24 Broadcom Corporation System and method for preparing software for execution in a dynamically configurable hardware environment
US6829697B1 (en) 2000-09-06 2004-12-07 International Business Machines Corporation Multiple logical interfaces to a shared coprocessor resource
US7346644B1 (en) 2000-09-18 2008-03-18 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US6538470B1 (en) 2000-09-18 2003-03-25 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US6518787B1 (en) * 2000-09-21 2003-02-11 Triscend Corporation Input/output architecture for efficient configuration of programmable input/output cells
GB2367647B (en) 2000-10-03 2002-11-20 Sun Microsystems Inc Resource access control for a processor
US6525678B1 (en) * 2000-10-06 2003-02-25 Altera Corporation Configuring a programmable logic device
US20040015899A1 (en) * 2000-10-06 2004-01-22 Frank May Method for processing data
ATE437476T1 (de) 2000-10-06 2009-08-15 Pact Xpp Technologies Ag Zellenanordnung mit segmentierter zwischenzellstruktur
US20020045952A1 (en) 2000-10-12 2002-04-18 Blemel Kenneth G. High performance hybrid micro-computer
JP2002123563A (ja) 2000-10-13 2002-04-26 Nec Corp コンパイル方法および合成装置ならびに記録媒体
US6398383B1 (en) 2000-10-30 2002-06-04 Yu-Hwei Huang Flashlight carriable on one's person
JP3636986B2 (ja) 2000-12-06 2005-04-06 松下電器産業株式会社 半導体集積回路
GB2370380B (en) 2000-12-19 2003-12-31 Picochip Designs Ltd Processor architecture
KR20030007434A (ko) 2000-12-20 2003-01-23 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 구성가능한 기능 유닛을 구비한 데이터 프로세싱 디바이스
US6571322B2 (en) 2000-12-28 2003-05-27 International Business Machines Corporation Multiprocessor computer system with sectored cache line mechanism for cache intervention
US6426649B1 (en) 2000-12-29 2002-07-30 Quicklogic Corporation Architecture for field programmable gate array
US6483343B1 (en) 2000-12-29 2002-11-19 Quicklogic Corporation Configurable computational unit embedded in a programmable device
US6522167B1 (en) * 2001-01-09 2003-02-18 Xilinx, Inc. User configurable on-chip memory system
US6392912B1 (en) 2001-01-10 2002-05-21 Chameleon Systems, Inc. Loading data plane on reconfigurable chip
US7020673B2 (en) * 2001-01-19 2006-03-28 Sony Corporation Reconfigurable arithmetic device and arithmetic system including that arithmetic device and address generation device and interleave device applicable to arithmetic system
US20020099759A1 (en) 2001-01-24 2002-07-25 Gootherts Paul David Load balancer with starvation avoidance
US6633242B2 (en) 2001-02-08 2003-10-14 Sun Microsystems, Inc. Entropy coding using adaptable prefix codes
US6847370B2 (en) * 2001-02-20 2005-01-25 3D Labs, Inc., Ltd. Planar byte memory organization with linear access
US7581076B2 (en) 2001-03-05 2009-08-25 Pact Xpp Technologies Ag Methods and devices for treating and/or processing data
US7210129B2 (en) * 2001-08-16 2007-04-24 Pact Xpp Technologies Ag Method for translating programs for reconfigurable architectures
US7444531B2 (en) 2001-03-05 2008-10-28 Pact Xpp Technologies Ag Methods and devices for treating and processing data
US9037807B2 (en) 2001-03-05 2015-05-19 Pact Xpp Technologies Ag Processor arrangement on a chip including data processing, memory, and interface elements
US9436631B2 (en) 2001-03-05 2016-09-06 Pact Xpp Technologies Ag Chip including memory element storing higher level memory data on a page by page basis
GB2373595B (en) 2001-03-15 2005-09-07 Italtel Spa A system of distributed microprocessor interfaces toward macro-cell based designs implemented as ASIC or FPGA bread boarding and relative common bus protocol
US6836839B2 (en) 2001-03-22 2004-12-28 Quicksilver Technology, Inc. Adaptive integrated circuitry with heterogeneous and reconfigurable matrices of diverse and adaptive computational units having fixed, application specific computational elements
US20020143505A1 (en) 2001-04-02 2002-10-03 Doron Drusinsky Implementing a finite state machine using concurrent finite state machines with delayed communications and no shared control signals
US6792588B2 (en) 2001-04-02 2004-09-14 Intel Corporation Faster scalable floorplan which enables easier data control flow
US6836849B2 (en) 2001-04-05 2004-12-28 International Business Machines Corporation Method and apparatus for controlling power and performance in a multiprocessing system according to customer level operational requirements
WO2002082267A1 (en) 2001-04-06 2002-10-17 Wind River Systems, Inc. Fpga coprocessing system
US6836842B1 (en) 2001-04-24 2004-12-28 Xilinx, Inc. Method of partial reconfiguration of a PLD in which only updated portions of configuration data are selected for reconfiguring the PLD
US7155602B2 (en) 2001-04-30 2006-12-26 Src Computers, Inc. Interface for integrating reconfigurable processors into a general purpose computing system
US6999984B2 (en) 2001-05-02 2006-02-14 Intel Corporation Modification to reconfigurable functional unit in a reconfigurable chip to perform linear feedback shift register function
US6802026B1 (en) 2001-05-15 2004-10-05 Xilinx, Inc. Parameterizable and reconfigurable debugger core generators
US6976239B1 (en) 2001-06-12 2005-12-13 Altera Corporation Methods and apparatus for implementing parameterizable processors and peripherals
AU2002347560A1 (en) 2001-06-20 2003-01-02 Pact Xpp Technologies Ag Data processing method
US10031733B2 (en) 2001-06-20 2018-07-24 Scientia Sol Mentis Ag Method for processing data
JP3580785B2 (ja) * 2001-06-29 2004-10-27 株式会社半導体理工学研究センター ルックアップテーブル、ルックアップテーブルを備えるプログラマブル論理回路装置、および、ルックアップテーブルの構成方法
US7043416B1 (en) 2001-07-27 2006-05-09 Lsi Logic Corporation System and method for state restoration in a diagnostic module for a high-speed microprocessor
US7383421B2 (en) 2002-12-05 2008-06-03 Brightscale, Inc. Cellular engine for a data processing system
US7036114B2 (en) 2001-08-17 2006-04-25 Sun Microsystems, Inc. Method and apparatus for cycle-based computation
US6874108B1 (en) 2001-08-27 2005-03-29 Agere Systems Inc. Fault tolerant operation of reconfigurable devices utilizing an adjustable system clock
US6868476B2 (en) 2001-08-27 2005-03-15 Intel Corporation Software controlled content addressable memory in a general purpose execution datapath
US7216204B2 (en) 2001-08-27 2007-05-08 Intel Corporation Mechanism for providing early coherency detection to enable high performance memory updates in a latency sensitive multithreaded environment
US7472230B2 (en) 2001-09-14 2008-12-30 Hewlett-Packard Development Company, L.P. Preemptive write back controller
US20030056091A1 (en) * 2001-09-14 2003-03-20 Greenberg Craig B. Method of scheduling in a reconfigurable hardware architecture with multiple hardware configurations
US20030055861A1 (en) * 2001-09-18 2003-03-20 Lai Gary N. Multipler unit in reconfigurable chip
US8686475B2 (en) 2001-09-19 2014-04-01 Pact Xpp Technologies Ag Reconfigurable elements
US20030052711A1 (en) * 2001-09-19 2003-03-20 Taylor Bradley L. Despreader/correlator unit for use in reconfigurable chip
US6854073B2 (en) 2001-09-25 2005-02-08 International Business Machines Corporation Debugger program time monitor
US6625631B2 (en) 2001-09-28 2003-09-23 Intel Corporation Component reduction in montgomery multiplier processing element
US6798239B2 (en) 2001-09-28 2004-09-28 Xilinx, Inc. Programmable gate array having interconnecting logic to support embedded fixed logic circuitry
US7000161B1 (en) * 2001-10-15 2006-02-14 Altera Corporation Reconfigurable programmable logic system with configuration recovery mode
US20060264508A1 (en) 2001-10-16 2006-11-23 Stone Richard A Modulation of ocular growth and myopia by gaba drugs
WO2003044962A2 (en) 2001-11-16 2003-05-30 Morpho Technologies Viterbi convolutional coding method and apparatus
US6886092B1 (en) 2001-11-19 2005-04-26 Xilinx, Inc. Custom code processing in PGA by providing instructions from fixed logic processor portion to programmable dedicated processor portion
US8412915B2 (en) * 2001-11-30 2013-04-02 Altera Corporation Apparatus, system and method for configuration of adaptive integrated circuitry having heterogeneous computational elements
US7188234B2 (en) 2001-12-12 2007-03-06 Intel Corporation Run-ahead program execution with value prediction
US6668237B1 (en) 2002-01-17 2003-12-23 Xilinx, Inc. Run-time reconfigurable testing of programmable logic devices
US20030154349A1 (en) 2002-01-24 2003-08-14 Berg Stefan G. Program-directed cache prefetching for media processors
US6476634B1 (en) * 2002-02-01 2002-11-05 Xilinx, Inc. ALU implementation in single PLD logic cell
DE10204044A1 (de) 2002-02-01 2003-08-14 Tridonicatco Gmbh & Co Kg Elektronisches Vorschaltgerät für Gasentladungslampe
US8914590B2 (en) 2002-08-07 2014-12-16 Pact Xpp Technologies Ag Data processing method and device
US6961924B2 (en) 2002-05-21 2005-11-01 International Business Machines Corporation Displaying variable usage while debugging
US20030226056A1 (en) 2002-05-28 2003-12-04 Michael Yip Method and system for a process manager
US7415594B2 (en) * 2002-06-26 2008-08-19 Coherent Logix, Incorporated Processing system with interspersed stall propagating processors and communication elements
WO2005010632A2 (en) 2003-06-17 2005-02-03 Pact Xpp Technologies Ag Data processing device and method
AU2003286131A1 (en) 2002-08-07 2004-03-19 Pact Xpp Technologies Ag Method and device for processing data
US6865662B2 (en) 2002-08-08 2005-03-08 Faraday Technology Corp. Controlling VLIW instruction operations supply to functional units using switches based on condition head field
US6976131B2 (en) * 2002-08-23 2005-12-13 Intel Corporation Method and apparatus for shared cache coherency for a chip multiprocessor or multiprocessor system
US6908227B2 (en) 2002-08-23 2005-06-21 Intel Corporation Apparatus for thermal management of multiple core microprocessors
EP1537486A1 (de) 2002-09-06 2005-06-08 PACT XPP Technologies AG Rekonfigurierbare sequenzerstruktur
US6931494B2 (en) 2002-09-09 2005-08-16 Broadcom Corporation System and method for directional prefetching
US6803787B1 (en) * 2002-09-25 2004-10-12 Lattice Semiconductor Corp. State machine in a programmable logic device
US6802206B2 (en) 2002-10-11 2004-10-12 American Axle & Manufacturing, Inc. Torsional actuation NVH test method
US7571303B2 (en) 2002-10-16 2009-08-04 Akya (Holdings) Limited Reconfigurable integrated circuit
US7155708B2 (en) 2002-10-31 2006-12-26 Src Computers, Inc. Debugging and performance profiling using control-dataflow graph representations with reconfigurable hardware emulation
US7299458B2 (en) 2002-10-31 2007-11-20 Src Computers, Inc. System and method for converting control flow graph representations to control-dataflow graph representations
US6816814B2 (en) 2002-11-12 2004-11-09 Sonics, Inc. Method and apparatus for decomposing and verifying configurable hardware
US7873811B1 (en) 2003-03-10 2011-01-18 The United States Of America As Represented By The United States Department Of Energy Polymorphous computing fabric
US20130111188A9 (en) 2003-07-24 2013-05-02 Martin Vorbach Low latency massive parallel data processing device
US7127560B2 (en) 2003-10-14 2006-10-24 International Business Machines Corporation Method of dynamically controlling cache size
US7412581B2 (en) * 2003-10-28 2008-08-12 Renesas Technology America, Inc. Processor for virtual machines and method therefor
TW200532454A (en) 2003-11-12 2005-10-01 Gatechange Technologies Inc System and method for message passing fabric in a modular processor architecture
US7567997B2 (en) 2003-12-29 2009-07-28 Xilinx, Inc. Applications of cascading DSP slices
US8495122B2 (en) 2003-12-29 2013-07-23 Xilinx, Inc. Programmable device with dynamic DSP architecture
US7840627B2 (en) 2003-12-29 2010-11-23 Xilinx, Inc. Digital signal processing circuit having input register blocks
US7870182B2 (en) 2003-12-29 2011-01-11 Xilinx Inc. Digital signal processing circuit having an adder circuit with carry-outs
US7472155B2 (en) 2003-12-29 2008-12-30 Xilinx, Inc. Programmable logic device with cascading DSP slices
US7038952B1 (en) 2004-05-04 2006-05-02 Xilinx, Inc. Block RAM with embedded FIFO buffer
US7290238B2 (en) 2004-05-12 2007-10-30 International Business Machines Corporation Method, system and program product for building an automated datapath system generating tool
JP4396446B2 (ja) 2004-08-20 2010-01-13 ソニー株式会社 情報処理装置および方法、並びにプログラム
US7299339B2 (en) 2004-08-30 2007-11-20 The Boeing Company Super-reconfigurable fabric architecture (SURFA): a multi-FPGA parallel processing architecture for COTS hybrid computing framework
DE102005021749A1 (de) 2005-05-11 2006-11-16 Fachhochschule Dortmund Verfahren und Vorrichtung zur programmgesteuerten Informationsverarbeitung
US7933838B2 (en) 2005-05-17 2011-04-26 Zhishen Ye Apparatus for secure digital content distribution and methods therefor
US20070043965A1 (en) 2005-08-22 2007-02-22 Intel Corporation Dynamic memory sizing for power reduction
US7455450B2 (en) 2005-10-07 2008-11-25 Advanced Micro Devices, Inc. Method and apparatus for temperature sensing in integrated circuits
US7759968B1 (en) 2006-09-27 2010-07-20 Xilinx, Inc. Method of and system for verifying configuration data
US7870366B2 (en) * 2007-09-22 2011-01-11 Hirak Mitra Chained operation of functional components with DONE and GO registers storing memory address for writing and reading linking signal value
US7971051B2 (en) 2007-09-27 2011-06-28 Fujitsu Limited FPGA configuration protection and control using hardware watchdog timer
US20090193384A1 (en) 2008-01-25 2009-07-30 Mihai Sima Shift-enabled reconfigurable device
JP2010277303A (ja) 2009-05-28 2010-12-09 Renesas Electronics Corp 半導体装置及び異常検出方法
US8650514B2 (en) * 2010-06-23 2014-02-11 Tabula, Inc. Rescaling

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19651075A1 (de) * 1996-12-09 1998-06-10 Pact Inf Tech Gmbh Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPU's), Mehrrechnersystemen, Datenflußprozessoren (DFP's), digitalen Signal Prozessoren (DSP's) oder dergleichen
WO1999000739A1 (en) * 1997-06-27 1999-01-07 Chameleon Systems, Inc. An integrated processor and programmable data path chip for reconfigurable computing
US6092174A (en) * 1998-06-01 2000-07-18 Context, Inc. Dynamically reconfigurable distributed integrated circuit processor and method
WO2000077652A2 (de) * 1999-06-10 2000-12-21 Pact Informationstechnologie Gmbh Sequenz-partitionierung auf zellstrukturen

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
"A technical perspective", THE XPP WHITE PAPER RELEASE 2.1, 27 March 2002 (2002-03-27), pages 1 - 27, XP002263157 *
BAUMGARTE V ET AL: "PACT XPP - A self-reconfigurable data processing", 1ST INTERNATIONAL CONFERENCE ON ENGINEERING OF RECONFIGURABLE SYSTEMS AND ALGORITHMS, June 2001 (2001-06-01), las vegas, pages 64 - 70, XP002257061, Retrieved from the Internet <URL:csdl.computer.org/comp/proceedings/ fccm/2002/1801/00/18010291.pdf> [retrieved on 20031008] *
See also references of EP1537486A1 *

Also Published As

Publication number Publication date
US20160170925A1 (en) 2016-06-16
US10296488B2 (en) 2019-05-21
US20080191737A1 (en) 2008-08-14
US20110148460A1 (en) 2011-06-23
US20110006805A1 (en) 2011-01-13
US8803552B2 (en) 2014-08-12
US20100039139A1 (en) 2010-02-18
US7782087B2 (en) 2010-08-24
JP4388895B2 (ja) 2009-12-24
US7602214B2 (en) 2009-10-13
US20140351482A1 (en) 2014-11-27
US7928763B2 (en) 2011-04-19
US9274984B2 (en) 2016-03-01
EP1537486A1 (de) 2005-06-08
AU2003289844A1 (en) 2004-05-13
US20130024657A1 (en) 2013-01-24
US9817790B2 (en) 2017-11-14
JP2006501782A (ja) 2006-01-12
US7394284B2 (en) 2008-07-01
US20060192586A1 (en) 2006-08-31
US20180067896A1 (en) 2018-03-08
US8310274B2 (en) 2012-11-13

Similar Documents

Publication Publication Date Title
WO2004038599A1 (de) Rekonfigurierbare sequenzerstruktur
EP1329816B1 (de) Verfahren zum selbständigen dynamischen Umladen von Datenflussprozessoren (DFPs) sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstrukturen (FPGAs, DPGAs, o.dgl.)
DE4416881C2 (de) Verfahren zum Betrieb einer Datenverarbeitungseinrichtung
EP0948842B1 (de) VERFAHREN ZUM SELBSTÄNDIGEN DYNAMISCHEN UMLADEN VON DATENFLUSSPROZESSOREN (DFPs) SOWIE BAUSTEINEN MIT ZWEI- ODER MEHRDIMENSIONALEN PROGRAMMIERBAREN ZELLSTRUKTUREN (FPGAs, DPGAs, o.dgl.)
DE69827589T2 (de) Konfigurierbare Verarbeitungsanordnung und Verfahren zur Benutzung dieser Anordnung, um eine Zentraleinheit aufzubauen
WO2002029600A2 (de) Zellenarordnung mit segmentierterwischenzellstruktur
WO2004021176A2 (de) Verfahren und vorrichtung zur datenverarbeitung
EP1599794B1 (de) Prozessor mit verschiedenartigen steuerwerken für gemeinsam genutzte ressourcen
EP1472616B8 (de) Rekonfigurierbare elemente
WO2002071196A2 (de) Verfahren und vorrichtung zu datenbe- und/oder verarbeitung
EP1466264B1 (de) Verfahren zur konfiguration der verbindung zwischen datenverarbeitungszellen
DE102006027181B4 (de) Prozessor mit internem Raster von Ausführungseinheiten
DE10241812A1 (de) Rekonfigurierbare Sequenzerstruktur
AT354783B (de) Programmierbare schaltung zur datenverarbeitung
EP1554805A2 (de) Einrichtung der programmierbaren logik
WO2006058358A2 (de) Verfahren zum steuern der zyklischen zuführung von instruktionswörtern zu rechenelementen und datenverarbeitungseinrichtung mit einer solchen steuerung
DE102005038567A1 (de) Multi-Prozessor-Architektur und Verfahren zum Steuern von Speicherzugriff bei einer Multi-Prozess-Architektur
EP1506496A2 (de) Rekonfigurierbare digitale logikeinheit
WO2024074293A1 (de) Rechenvorrichtung, verfahren zur lastverteilung für eine solche rechenvorrichtung und computersystem
DE4447706B4 (de) Partiell zur Laufzeit rekonfigurierbarer Datenflussprozessor
DE4447707B4 (de) Videointerface mit zur Laufzeit rekonfigurierbarer Schaltlogik
EP1348257B1 (de) Zellenanordnung mit segmentierter zwischenzellstruktur
DE102019120463A1 (de) Mikroprozessorarchitektur mit automatischem Aufbau einer Pipeline für Schleifen
DE3708887C2 (de)
DE10238173A1 (de) Rekonfigurationsdatenladeverfahren

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2004545763

Country of ref document: JP

REEP Request for entry into the european phase

Ref document number: 2003782172

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2003782172

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2003782172

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWE Wipo information: entry into national phase

Ref document number: 2006192586

Country of ref document: US

Ref document number: 10526595

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 10526595

Country of ref document: US